關於ModelSim從quartus自動啓動仿真

實驗環境是Quartus 13.0。其他的版本應該差不多。

本篇實際上集中總結了很多我曾經遇到的問題,相信可能也是你的問題。


1. 在建立工程的時候就有要設置要採用的工具軟件。這一步如果當時沒做,可以在

assignment ==> settings ==> EDA tools settings

在simulation處選擇modelsim altera。


2. tools ==> opetions ==> general ==> EDA tool opetions

選擇modelsim altera路徑,注意要選擇到這個文件夾才行。

D:\Altera13\modelsim_ase\win32aloem


3. 創建test bench

先搞個模板出來。

processing ==> start ==> start test bench template writer

然後根據具體的需求編寫test bench


4. assignment ==> settings ==> EDA tools settings ==> simulation

在nativelink setting 處選擇compile test bench然後添加剛剛寫好的test bench。

這一步一定要注意:

添加(new出來的)的實例名字!!!!!!!!!!一定要與導入的test bench 文件名字(自動生成文件中的Entity)一樣!!!!!!!!!不然打開ModelSim後會出現錯誤:

Error: (vsim-3170) Could not find"<Project_dir>/rtl_work.xxx'"




設置完畢:

點擊simulation圖標或者tools ==> run simulation tool ==> .....


Happy simulating!


發佈了36 篇原創文章 · 獲贊 15 · 訪問量 83萬+
發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章