大學生集成電路設計大賽資源

全國大學生集成電路創新創業大賽

書籍
《Verilog HDL數字設計與綜合》(第二版)(本科教學版)
《FPGA編程從零開始 使用Verilog》
《Verilog HDL入門》(第3版)
《Verilog數字系統設計教程》(第4版)(十一五)
《SoC系統設計》
《VHDL嵌入式數字系統設計教程》
《用於邏輯綜合的VHDL》(第3版)
《VHDL數字設計與計算機設計基礎》
《基於VHDL的數字系統設計方法》
《數字設計:系統方法》
《數字邏輯設計與計算機組成》

學習資源
Welcome To Verilog Page
Verilog Tutorial

開源軟件
Icarus Verilog
Icarus Verilog for Windows
gtkwave download | SourceForge.net

FPGA開發板
Mojo
Papilio One
Elbert 2

百度百科
VHDL語言_百度百科
Verilog_百度百科
eda_百度百科
ASIC_百度百科
ASSP_百度百科
FPGA_百度百科
pcie_百度百科
TCAM_百度百科
GTKWave_百度百科
Synopsys_百度百科
Cadence_百度百科
Mentor Graphics_百度百科
北京華大九天軟件有限公司_百度百科
華潤上華科技有限公司_百度百科
Marvell_百度百科
Xilinx_百度百科

博客
大話Verilog——Verilog入門(FPGA/ARM)
EDA硬件描述語言VHDL
Icarus Verilog的使用
輕量版verilog仿真平臺:icarus verilog使用簡介
Ubuntu下icarus verilog仿真實例

EDA公司
Synopsys | EDA Tools, Semiconductor IP and Application…
Cadence | Computational Software for Intelligent System…
cadence-中國授權中國網站
…in electronic design automation software - Mentor Graphics
在EDA技術的領導者 - Mentor Graphics
華大九天

公司與產品
華潤上華科技有限公司
Xilinx - Adaptable. Intelligent.
Xilinx -靈活應變. 萬物智能.
Versal ACAP
…PCIE接口培訓課程|上海fpga就業培訓班-v3學院(www.v3edu.org)

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章