ISE、VIVADO同Modelsim聯合仿真

之前介紹了quartus同modelsim的聯合仿真,這次介紹ISE/VIVADO和modelsim的聯合仿真

ISE和VIVADO的配置方法基本一致,這裏我就以ISE爲例,ISE沒有modelsim的集成安裝包,所以要先下載一個modelsim,
我的環境: Windows 10 64 位, Xilinx ISE Design Suite 14.7(D:\Xilinx\14.7), Modelsim SE-64 10.1c
(D:\modeltech64_10.1c)。

由於我裝的 Modelsim SE 是通用版的,只自帶了少許的仿真庫(Simulation Library),所以還要編譯一下 Xilinx 的仿真庫。只有 XE 才自帶 Xilinx 的仿真庫,如果裝的是 XE 版便不必編譯仿真庫了。編譯庫可以用 ISE 帶界面的工具,也可以用命令行下的,這裏只講前者,如果想用前者,可以自己百度,其實兩者原理都是一樣的。打開編譯庫工具“Simulation Library Compilation Wizard”:win 7打開方法“開始—所有程序—Xilinx ISE Design Suite 14.7(版本不同就不同) —ISE Design Tools—64-bit Tools(32 位的選 32-bit Tools) —Simulation Library Compilation Wizard”。

Win10打開方法如下圖

 

打開工具之後,在“Select Simulator”下面選中你所裝好的 Modelsim 版本,我這兒選“Modelsim SE”,在“Simulator Executable Location”下面填入 Modelsim.exe 的所在的文件夾,點“Browse…”按鈕添加也行,我這兒是“D:\modeltech64_10.1c

\win64”(不含雙引號,下同,除非有特別的說明),下圖,
然後 Next。

之後是選擇需要編譯的語言,選“Both VHDL and Verilog”,一般兩種都要用,如圖,然後 Next。

接下來是選擇設備,默認是全選,如果有一些你實在用不上就不選吧,這樣可以省點時間和硬盤空間,如圖,還是 Next。

下一步默認就行,全選上,下面的兩行留空,那是添加額外庫的, Next。

這一步比較關鍵,在“Output directory for compiled libraries”下面填入輸出已編譯庫的路徑,默認也行。個人建議新建一個單獨的文件夾,好管理,只要版本一樣的,下次直接拿來用,重裝也不怕。不過 文 件 夾 的 名 字 和 整 個 路 徑 中 絕 對 不 能 有 中 文 或 空 格 , 切 記 、 切 記 !!! 我 這 兒 是 “D:\modeltech64_10.1c\Xilinx_lib”。其他的選項默認便可,之後點“Launch Compiled Process”,如圖。

之後就開始編譯了等待編譯完後就會出現一些編譯日誌,Next, Finish。只要沒有 Err 就成, Warn 無視。若有 Err,就回顧下版本對不對,路徑有沒有中文或空格。

下面設置 ISE 和 Modelsim 的關聯。在“D:\Xilinx\14.7\

ISE_DS\EDK”文件夾中找到“modelsim.ini”,“D:\Xilinx

\14.7”這個是你的 ISE 安裝目錄,實在不行就進入安裝目錄然後搜索 “modelsim.ini”,

打開“modelsim.ini”,用記事本打開時不要用自動換行功能,菜單中“格式—自動換行”把勾去掉。

找到“[Library]”,下面一行的“others = $MODEL_TECH/../modelsim.ini”和行的開頭的分號(;)
的全部都無視。那些行開頭沒有分號的,格式一般是“X···X = 路徑”,一直到“[vcom]”之上的都要,全部複製。

然後在 Modelsim 的安裝目錄下,我這兒是“D:\modeltech64_10.1c”,找到“modelsim.ini”,名字一樣。
先去換個文本編輯工具吧,用記事本打開它會是亂糟糟的一片,沒法改。打開後,同樣是找到“[Library]”,
在它的下面粘貼上剛剛複製的那一大段東西,注意,行開頭不要有分號(;),而且人家原有的就別動
它,別刪掉了。只要在“[Library]”和“[vcom]”之間粘貼就行,然後保存。

打開 ISE,“開始—所有程序—Xilinx ISE Design Suite 14.7(版本不同就不同)—ISE Design Tools—64-bit

Project Navigator”,

然後在 ISE 的菜單上“Edit—Preferences…”調出 Preferences 設置窗口,在左邊的“Category”下選中“ISE
General—Integrated Tools”。在 Integrated Tools 項設置中,右邊的“Model Tech Simulator:”下面填入Modelsim.exe 的 文 件 路 徑 , 點 旁 邊 的 “.. ” 按 鍵 選 中 Modelsim.exe 也 行 ,

我 這 兒 是 “ D:\modeltech64_10.1c\win64\modelsim.exe ”( 不 要 雙 引 號 ) 。如圖。


到現在已經準備好了,接下來就是使用它了。新建一個工程,就不多說了,注意在“Simulator”一項選“Modelsim-SE Mixed”,“Mixed”支持兩語言,“SE”是版本,選你自己對應的就成。

忘了選或想重新選的在Project –Design Properies…重新配置。
新建你的 VHDL 或 Verilog 文件,這個不多說。 如果是 VHDL 文件,則要打開兩個庫。 在菜單上“Edit—Preferences…”調出 Preferences 設置窗口,選中“Category”下面的“ISE Text Editor”,之後在右邊“ISE Text Editor”設置中下面的那個大白框裏有四行代碼,把後面兩行,“use IEEE.STD_LOGIC_ARITH.ALL;”
和“use IEEE.STD_LOGIC_UNSIGNED.ALL;”複製一下,然後粘貼在你的 VHDL 文件的庫聲明中,也就是打開這些庫。完成之後,切換到仿真模式,就是點工程上面的“Simulation”,可能不同版本會不一樣, ISE9.1i 是在 “Source for” 的下拉菜單中選擇 Behavioral simulation, 不過意思明白就行。 建立 Test Bench 文件, 若
是 VHDL 的, 也按上面說的處理一下,就是把那兩個庫聲明一下。在“Hierarchy”框選中 Test Bench 文件,在下面的那個框中點“Modelsim Simulator”前的加號。展開得到“Simulate Behavioral Model”,在它上面點右鍵,選“Process Properties…”,如圖 27。這裏如果直接選“Run”可能會出現如圖 28 的警告,如果不出現警告而且能調用 Modelsim 仿真,那恭喜你成功了,不然就接着看吧。有警告時,如果前面的設置沒問題,直接點“No”就可以用 Modelsim 仿真了。爲了搞定這個警告,咱們接着整。把"D:\modeltech64_10.1c\modelsim.ini"複製到你所建的工程目錄下也能搞定這個警告,不過這樣太麻煩,每次都得複製,還是往下看吧。選“Process Properties…”後彈出 Process Properties
設置窗口,在右邊的“Compiled Library Directory”後面填入之前編譯庫時設置的已編譯庫的路徑,點旁邊的“…”按鈕來選定也行。如果編譯庫時使默認的路徑,那這裏就不用改了,不過得把下面的“Ignore Pro-Compiled Library Warning Check”給勾上,不然也可能有警告,反正我用默認路徑時就是有警告,不知道爲什麼。其實只要你前面設置的正確了,這裏直接把“Ignore Pro-Compiled Library Warning Check”給勾上,路徑都不設也是可以的,搞定後點“Ok”。

在“Simulate Behavioral Model”上點右鍵,選“Run”就可以調用 Modelsim 進行仿真了。

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章