【NiosII學習】第三篇、按鍵中斷

目錄

第一部分、按鍵的儲備知識

1、讀寫數據寄存器

2、讀寫方向寄存器

3、讀寫中斷屏蔽寄存器

4、讀寫邊沿捕捉寄存器

第二部分、新建Quartus II工程

 第三部分、修改別人的軟核

1、添加PIO核之LED

2、添加PIO核之按鍵Key

第四部分、編寫Quartus中的verilog代碼

1、verilog代碼:

第五部分、編寫Ecplise裏面的C代碼

1、注意

2、c代碼

第六部分、總結

1、效果演示

2、閒話

3、完整資料


第一部分、按鍵的儲備知識

1、讀寫數據寄存器

IORD_ALTERA_AVALON_PIO_DATA(base);//base:是你設置引腳對應的地址,在sysm.h文件中找。 
IOWR_ALTERA_AVALON_PIO_DATA(base, data);//base:是你設置引腳對應的地址,在sysm.h文件中找。data:是你想要寫入的數據。

用法:

例如在按鍵中:
K = IORD_ALTERA_AVALON_PIO_DATA(base); 
K = 0則證明按鍵被按下,K = 1 證明按鍵沒有被按下。

2、讀寫方向寄存器

IORD_ALTERA_AVALON_PIO_DIRECTION (base); 
IOWR_ALTERA_AVALON_PIO_DIRECTION(base, data);

3、讀寫中斷屏蔽寄存器

  IORD_ALTERA_AVALON_PIO_IRQ_MASK(base);
  IOWR_ALTERA_AVALON_PIO_IRQ_MASK(base, data);

4、讀寫邊沿捕捉寄存器

  IORD_ALTERA_AVALON_PIO_ EDGE_CAP (base);
  IOWR_ALTERA_AVALON_PIO_ EDGE_CAP (base, data);

第二部分、新建Quartus II工程

第一步、還是複製小梅哥的LCD9341的初始工程,到自己建立的文件夾中,省略很多步驟,前面幾篇說過了。

注意:如果你和我FPGA型號不一樣,那就複製你的SOPC工程文件到文件夾中,和前面一樣,因爲其他的步驟大致都和我的一樣,沒有區別。

第三部分、修改別人的軟核

1、添加PIO核之LED

第一步、添加軟核需要的東西,這裏不從頭開始搭建,我們先學會在別人的工程上更改。

注意:我這還是以小梅哥的LCD驅動程序代碼作爲自己的基礎,你FPGA型號如果和我不一樣,那你選擇一個你FPGA自帶的資料中找一個類似SOPC工程,然後照着我下面的步驟一起操作

第二步、搜索PIO,雙擊添加PIO,然後進行相關的配置,如圖

第三步、配置LED對應的PIO,AC620一共有四個LED燈,所以Width應該是4位,Direction方向應該是output輸出。初始的復位值可以設置位(0x。。。。0)讓四個LED處於亮狀態。(我的FPGA上LED3,2,1,0分別對應A3,A4,B3,A2 )

注意:你如果是別的FPGA,那你注意你FPGA上LED的個數。

第四步、進行連線,連線按照下面的錯誤提示來一步一步的連,基本上沒有問題

第五步、首先給pio_0重新命名爲pio_led,便於識別。然後再自動分配地址,操作如圖中

第六步、雙擊導出端口(看他的英文指導,你也知道怎麼操作)

 第七步、當你的操作步驟都是正確的時候,就會出現讓人舒服的綠色信息,就像我字體的顏色

2、添加PIO核之按鍵Key

第一步、同理前面的步驟,再添加一個PIO核來對應按鍵Key。並添加PIO的中斷程序,但是在配置方面要注意,這裏配置爲下降沿的方式觸發,如圖中的配置。

第二步、又重複第五個步驟,注意命名爲pio_key,唯一多出的步驟就是連上中斷線

第三步、按照上述的配置,又出現讓人爽快的綠色信息

 第四步、上述操作過後,接下來生成自己的軟核,然後等待漫長的3-5分鐘。

第五步、生成完成後,先別急着退出,將要導出的端口複製,這裏你的名字可能和我的不一樣,沒關係,只要是你添加的PIO端口就可以,我這裏是圖中紅色方框。

第四部分、編寫Quartus中的verilog代碼

第一步、將你剛剛複製的代碼複製到V文件中的u0中(目的是爲了例化),然後定義你端口變量的名稱和輸出類型,再進行預編譯。

注意:我感覺我在這裏沒有說明白,因爲你要一點點Verilog語法的基本知識,這裏你才容易明白。如果沒明白,你看一下我的代碼的備註,就算你FPGA型號不同,你也可以看一下,很容易懂得。

 第二步、預編譯完成後,再分配引腳,分配完引腳後再進行全編譯。

1、verilog代碼:

module AC620_GHRD(	
    //按鍵輸入
	input  wire        pio_key,
     /*需要添加的代碼,我的FPGA是4個LED,所以這裏是四位,類型是輸出的*/
	output wire  [3:0] pio_led,/*這裏名字,和下面括號中的要保持一致*/
     /*需要添加的代碼,我的FPGA是4個LED,所以這裏是四位,類型是輸出的*/	
    
    input  wire        clk,                           //                        clk.clk
	input  wire        reset_n,                     //                      reset.reset_n
	output wire        lcd_rst,                    //                    lcd_rst.export
	output wire        lcd_rd_n,                     //                     lcd_rd.export
	output wire        lcd_bl,                     //                     lcd_bl.export
	output wire        lcd_wr_n,                     //                     lcd_wr.export
	output wire        lcd_rs,                     //                     lcd_rs.export
	output wire        lcd_cs_n,                     //                     lcd_cs.export
	inout  wire [15:0] lcd_data,                     //                     lcd_db.export
	output wire        sdram_clk,                     //                  sdram_clk.clk
	output wire [11:0] sdram_addr,                        //                      sdram.addr
	output wire [1:0]  sdram_ba,                          //                           .ba
	output wire        sdram_cas_n,                       //                           .cas_n
	output wire        sdram_cke,                         //                           .cke
	output wire        sdram_cs_n,                        //                           .cs_n
	inout  wire [15:0] sdram_dq,                          //                           .dq
	output wire [1:0]  sdram_dqm,                         //                           .dqm
	output wire        sdram_ras_n,                       //                           .ras_n
	output wire        sdram_we_n,                         //                           .we_n
	input  wire        uart_0_rxd,                        //                     uart_0.rxd
	output wire        uart_0_txd,                        //                           .txd
	output wire        epcs_dclk,                         //                       epcs.dclk
	output wire        epcs_sce,                          //                           .sce
	output wire        epcs_sdo,                          //                           .sdo
	input  wire        epcs_data0                         //                           .data0
);


    mysystem u0 (
		.clk_clk                           (clk),                           //                        clk.clk
		.reset_reset_n                     (reset_n),                     //                      reset.reset_n
           .uart_0_rxd                        (uart_0_rxd),                        //                     uart_0.rxd
           .uart_0_txd                        (uart_0_txd),                        //                           .txd
           .epcs_dclk                         (epcs_dclk),                         //                       epcs.dclk
           .epcs_sce                          (epcs_sce),                          //                           .sce
           .epcs_sdo                          (epcs_sdo),                          //                           .sdo
           .epcs_data0                        (epcs_data0),                        //
		.lcd_rst_export                    (lcd_rst),                    //                    lcd_rst.export
		.lcd_bl_export                     (lcd_bl),                     //                     lcd_bl.export
		.lcd_wr_n                          (lcd_wr_n),                          //                        lcd.wr_n
		.lcd_rd_n                          (lcd_rd_n),                          //                           .rd_n
		.lcd_data                          (lcd_data),                          //                           .data
		.lcd_rs                            (lcd_rs),                            //                           .rs
		.lcd_cs_n                          (lcd_cs_n),                           //  
		.sdram_clk_clk                     (sdram_clk),                     //                  sdram_clk.clk
		.altpll_0_phasedone_conduit_export (), // altpll_0_phasedone_conduit.export
		.altpll_0_locked_conduit_export    (),    //    altpll_0_locked_conduit.export
		.altpll_0_areset_conduit_export    (),    //    altpll_0_areset_conduit.export
		.sdram_addr                        (sdram_addr),                        //                      sdram.addr
		.sdram_ba                          (sdram_ba),                          //                           .ba
		.sdram_cas_n                       (sdram_cas_n),                       //                           .cas_n
		.sdram_cke                         (sdram_cke),                         //                           .cke
		.sdram_cs_n                        (sdram_cs_n),                        //                           .cs_n
		.sdram_dq                          (sdram_dq),                          //                           .dq
		.sdram_dqm                         (sdram_dqm),                         //                           .dqm
		.sdram_ras_n                       (sdram_ras_n),                       //                           .ras_n
		.sdram_we_n                        (sdram_we_n),                         //                           .we_n
        	/*這裏是你剛剛複製的代碼*/	
           /*這個是我導出的端口,複製到這裏來*/
           .pio_led_export 			(pio_led), //   pio_led.export
           /*這個是我導出的端口,複製到這裏來*/
            .pio_key_export 			(pio_key)  //   pio_irq.export
	        //按鍵輸入
 );
endmodule

第五部分、編寫Ecplise裏面的C代碼

1、注意

這裏我省略如何新建Ecplise中的工程,不會的話看前面的筆記https://blog.csdn.net/Learning1232/article/details/110225728)。

2、c代碼

第一步、新建新的工程,一般是Blank Project,這些都不難,主要出錯誤的就是代碼。所以直接附上代碼

#include <stdio.h>
#include <system.h>
#include "altera_avalon_pio_regs.h"//PIO讀寫頭文件
#include "priv/alt_legacy_irq.h"   //註冊中斷函數的地方
#include "unistd.h"					//延時函數的頭文件
#include "alt_types.h"

void Irq_key();
alt_u8  x=0;

int main()
{
						//中斷使能寄存器,置 1 時中斷使能有效,否則無效,我們把它置 1,使中斷使能有效;
	IOWR_ALTERA_AVALON_PIO_IRQ_MASK(PIO_KEY_BASE,1);//使能中斷KEY_INTERRUPT_MASK 是 PIO 的
	IOWR_ALTERA_AVALON_PIO_EDGE_CAP(PIO_KEY_BASE,1);//清中斷邊沿捕獲寄存器
	alt_irq_register(PIO_KEY_IRQ,NULL,Irq_key);//註冊中斷

    IOWR_ALTERA_AVALON_PIO_DATA(PIO_LED_BASE,15);
  	while(1)
	{
		usleep(1000000);
           printf("%d\n",x);//打印進入中斷次數x的值
	}
}

void Irq_key()
{
	x++;//每次進入一次中斷x就增加一次
	if(x == 1)
	{
		IOWR_ALTERA_AVALON_PIO_DATA(PIO_LED_BASE,0);//進入中斷,LED燈亮
	}
	else if(x == 2)
	{
		x=0;
		IOWR_ALTERA_AVALON_PIO_DATA(PIO_LED_BASE,15);//再次進入中斷,LED滅
	}
	//清除中斷標誌位,使得下次仍然可以產生中斷
	IOWR_ALTERA_AVALON_PIO_EDGE_CAP(PIO_KEY_BASE,1);//清中斷邊沿捕獲寄存器
}

第二步、程序燒進去沒有反應,我遇到了這種情況,心態一般,有一點點裂開。所以FPGA開發,你有一半的時間和軟件做鬥爭

第三步、如果發現程序一直死在中斷中,那麼有可能是標黃的地方出錯了alt_irq_register(PIO_KEY_IRQ,NULL,Irq_key);//註冊中斷

第六部分、總結

1、效果演示

已經拍成視頻發放在文件夾中,你也可以先點擊這個鏈接直接觀看(https://live.csdn.net/v/120094),其功能就是按下按鍵進入中斷開燈,在按一下進入中斷,關燈。注意:這裏按鍵效果不好,爲什麼嘞?因爲沒有進行按鍵消抖,FPGA的按鍵硬件消抖有點麻煩,你先去百度吧,百度經驗解釋了。。。。。以後有時間我在寫一篇關於硬件消抖。

2、閒話

說實話有些知識我說的也不是很清楚,你去要課下去補充一下,因爲這也是我給自己做的學習筆記,所以希望讀者多多包容。

希望讀者克服一下,如果你實在不懂,還是那句話掃碼加羣,然後加我QQ單獨聯繫我或者直接私信我。只要我不在上課,我就可以手把手幫你解決問題。

“馬老師,發生甚麼事了?”

3、完整資料

我把這篇文章涉及到的所有的資料(完整工程、演示視頻、參考資料下載鏈接:https://download.csdn.net/download/Learning1232/13673152都會放在這個文件夾裏面,文件夾你可以掃碼進羣下載,或者關注我,直接下載,粉絲福利(哈哈)!!!

 

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章