原创 明德揚至簡設計法--verilog綜合器和仿真器

Verilg是硬件描述語言,顧名思義,就是用代碼的形式描述硬件的功能。而我們最終是要在電路上實現該功能的。當Verilog描述出硬件功能後,我們需要綜合器對Verilog代碼進行解釋,將代碼轉化成實際的電路來表示,最終實際的電路,我們稱

原创 FPGA至簡設計法之一:D觸發器、波形、代碼

在學習verilog之前,我們先學習一下D觸發器以及它的代碼。 FPGA的設計基礎是數字電路,因此很多同學會認爲我們要先學好數字電路之後,才學習FPGA。但是,數字電路教材的內容很多.例如:JK觸發器、RS觸發器、真值表、卡諾圖等。但是,

原创 FPGA反推法應用實例——檢查代碼

對於IT相關從業人員來說,看別人代碼是必不可少的磨難。在學習階段,我們經常需要從書上看別人的代碼以吸取寶貴經驗,這是相當枯燥無趣的過程,也時常無法領會作者的意圖。在實際工作中,不可避免的出現需要接手做到一半的項目或是團隊合作的項目,這時候

原创 基於FPGA的Ethercat定製棧最大可實現32軸

基於FPGA的Ethercat主棧協議 (最大可帶32軸同步運行,同步抖動±75ns,控制精度125us) 各位老闆: 我司有多年的FPGA研發經驗; 基於FPGA的Ethercat定製棧更是達到行業領先水平 感謝抽空閱讀,歡迎合作 市場

原创 串行結構的FIR濾波器設計

本案例節選明德揚FPGA企業內訓中的項目訓練。 一、功能描述 FIR濾波器,即有限脈衝響應濾波器,顧名思義,是指單位脈衝響應的長度是有限的濾波器。而根據FIR濾波器的結構形式,分爲直接型、級聯型、頻率取樣型和快速卷積型。其中直接型又

原创 如何在Model Sim SE中添加庫以仿真ISE的IP核

本案例:明德揚首創全新FPGA設計技巧--至簡設計法,教你如何一步一步去完成一個複雜電路的設計,裏面很多有實用技巧,熟練運用這些技巧,有助於你寫出非常優秀的FPGA設計代碼。非常簡潔易讀,歡迎比較。        當工程中使用到XI

原创 基於ALTERA實現的鬧鐘工程

本模板:明德揚首創全新FPGA設計技巧--至簡設計法,教你如何一步一步去完成一個複雜電路的設計,裏面很多有實用技巧,熟練運用這些技巧,有助於你寫出非常優秀的FPGA設計代碼。非常簡潔易讀,歡迎比較。 一、功能描述 本工程包括矩陣鍵盤

原创 助力中國芯片業崛起,潘文明2017全國高校FPGA巡講開幕

智能時代的到來,令芯片的需求與日劇增。中國每年均需消耗佔全球四成以上的芯片,成爲最大的芯片需求國。據海關總署數據,截至2017年10月底,本年度中國集成電路進口金額已高達2071.97億美元。由於部分芯片還存在需求缺口,實際需要進口的芯片

原创 國內最好的EtherCAT技術 讓您捷足先登行業制高點

 【您會有這樣的困擾嗎】l 市場上不乏控制4、6、8軸的運控卡,好點的也就控制16軸的,大家都這樣買板卡回來做PLC呀。那您覺得這樣做PLC有競爭力嗎?l 一旦遇到要求控制16軸以上的而且精度要求高的訂單,自問過不了這道技術坎,恐怕只有遺

原创 DDS信號發生器的實現(內含代碼資料)

本案例節選明德揚深圳FPGA培訓班。 一、功能描述 本工程實現DDS直接數字式頻率合成器,利用正弦波相位線性增加的特點,產生正弦波和餘弦波。本工程主要由3部分組成:相位累加器,相位幅度轉換,數模轉換器DAC(FPGA外部實現)。其中

原创 BCD譯碼的實現_移位加3算法

本案例節選明德揚廣州FPGA培訓班。 BCD譯碼是指將二進制數,轉換成BCD格式。如當cnt_s值爲10時,也就是8’b00001010,轉換成個位值爲4’b0000,十位值爲4’b0001。這個轉換過程就是BCD譯碼。 此

原创 FPGA的冷思考:如何擺脫只會看代碼而不會寫代碼的宿命?

明德揚至簡設計法設計的16位複數乘法器,比一般乘法器更加節省乘法器資源。 本案例節選明德揚fpga培訓班中的練習,代碼簡潔,歡迎比較學習。潘老師基於至簡設計法的案例,絕不是世面上亂七八糟的代碼,我們的代碼簡 單清晰,一步一步設計,是讓你學

原创 基於潘文明至簡設計法實現的PWM調製verilog

本案例:明德揚首創全新FPGA設計技巧--至簡設計法,教你如何一步一步去完成一個複雜電路的設計,裏面很多有實用技巧,熟練運用這些技巧,有助於你寫出非常優秀的FPGA設計代碼。非常簡潔易讀,歡迎比較。 一、功能描述 脈衝寬度調製(pu

原创 明德揚公開課-FPGA原碼補碼運算_fpga學習

全網公開課《FPGA原碼補碼運算》錄播視頻鏈接:http://www.mdy-edu.com/product/629.html(ps:培訓班大部分是學習設計技巧,如給定功能,如何進行設計等。這纔是FPGA工程師的核心能力!!QQ:8546

原创 算法的verilog實現-FIR濾波器的設計實現

上週星期天(12月17日)全網公開課《算法的verilog實現-FIR濾波器的設計實現》(ps:培訓班大部分是學習設計技巧,如給定功能,如何進行設計等。這纔是FPGA工程師的核心能力!!QQ:8546,18250)  http://ww