VHDL數據類型轉換

使用的庫是ieee.std_logic_unsigned.all

 

一、conv_integer()

std_logic_vector轉integer

 

二、conv_std_logic_vector()

integer,unsigned,signed轉std_logic

 

三、to_bit()

std_logic轉bit

 

四、to_bit_vector()

std_logic_vector轉bit_vector

 

五、to_std_logic()

bit轉std_logic

 

六、to_std_loigc_vector()

bit_vector轉std_logic_vector

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章