FPGA爲什麼難學

轉自:http://www.fpgaw.com/thread-86084-1-1.html


1、不熟悉FPGA的內部結構,不瞭解可編程邏輯器件的基本原理。

FPGA爲什麼是可以編程的?恐怕很多菜鳥不知道,他們也不想知道。因爲他們覺得這是無關緊要的。他們潛意識的認爲可編程嘛,肯定就是像寫軟件一樣啦。軟件編程的思想根深蒂固,看到Verilog或者VHDL就像看到C語言或者其它軟件編程語言一樣。一條條的讀,一條條的分析。如果這些菜鳥們始終拒絕去了解爲什麼FPGA是可以編程的,不去了解FPGA的內部結構,要想學會FPGA 恐怕是天方夜譚。雖然現在EDA軟件已經非常先進,像寫軟件那樣照貓畫虎也能綜合出點東西,但也許只有天知道EDA軟件最後綜合出來的到底是什麼。也許點個燈,跑個馬還行。這樣就是爲什麼很多菜鳥學了N久以後依然是一個菜鳥的原因。那麼FPGA爲什麼是可以“編程”的呢?

首先來了解一下什麼叫“程”。啓示 “程”只不過是一堆具有一定含義的01編碼而已。編程,其實就是編寫這些01編碼。只不過我們現在有了很多開發工具運算或者是其它操作。所以軟件是一條一條的,通常都不是直接編寫這些01編碼,而是以高級語言的形式來編寫,最後由開發工具轉換爲這種01編碼而已。對於軟件編程而言,處理器會有一個專門的譯碼電路逐條把這些01編碼翻譯爲各種控制信號,然後控制其內部的電路完成一個個的讀,因爲軟件的操作是一步一步完成的。而FPGA的可編程,本質也是依靠這些01編碼實現其功能的改變,但不同的是FPGA之所以可以完成不同的功能,不是依靠像軟件那樣將01編碼翻譯出來再去控制一個運算電路,FPGA裏面沒有這些東西。FPGA內部主要三塊:可編程的邏輯單元、可編程的連線和可編程的IO模塊。

其次再來了解下可編程的邏輯單元是什麼?其基本結構某種存儲器(SRAM、 FLASH等)製成的4輸入或6輸入1輸出地“真值表”加上一個D觸發器構成。任何一個4輸入1輸出組合邏輯電路,都有一張對應的“真值表”,同樣的如果用這麼一個存儲器製成的4輸入1輸出地“真值表”,只需要修改其“真值表”內部值就可以等效出任意4輸入1輸出的組合邏輯。這些“真值表”內部值是什麼?就是那些01編碼而已。如果要實現時序邏輯電路怎麼辦?這不有D觸發器嘛,任何的時序邏輯都可以轉換爲組合邏輯+D觸發器來完成。但這畢竟只實現了4輸入1輸出的邏輯電路而已,通常邏輯電路的規模那是相當的大哦。那怎麼辦呢?這個時候就需要用到可編程連線了。在這些連線上有很多用存儲器控制的鏈接點,通過改寫對應存儲器的值就可以確定哪些線是連上的而哪些線是斷開的。這就可以把很多可編程邏輯單元組合起來形成大型的邏輯電路。最後就是可編程的IO,這其實是FPGA作爲芯片級使用必須要注意的。任何芯片都必然有輸入引腳和輸出引腳。有可編程的IO可以任意的定義某個非專用引腳(FPGA中有專門的非用戶可使用的測試、下載用引腳)爲輸入還是輸出,還可以對IO的電平標準進行設置。

總歸一句話,FPGA之所以可編程是因爲可以通過特殊的01代碼製作成一張張 “真值表”,並將這些“真值表”組合起來以實現大規模的邏輯功能。不瞭解FPGA內部結構,就不能明白最終代碼如何變到FPGA裏面去的。也就無法深入的瞭解如何能夠充分運用FPGA。現在的FPGA,不單單是有前面講的那三塊,還有很多專用的硬件功能單元,如何利用好這些單元實現複雜的邏輯電路設計,是從菜鳥邁向高手的路上必須要克服的障礙。而這一切,還是必須先從瞭解FPGA內部邏輯及其工作原理做起。

2、FPGA本身不算什麼,一切皆在FPGA之外這一點恐怕也是很多學FPGA的菜鳥最難理解的地方。

FPGA是給誰用的?很多學校解釋爲給學微電子專業或者集成電路設計專業的學生用的,其實這不過是很多學校受資金限制,買不起專業的集成電路設計工具而用FPGA工具替代而已。其實FPGA是給設計電子系統的工程師使用的。這些工程師通常是使用已有的芯片搭配在一起完成一個電子設備,如基站、機頂盒、視頻監控設備等。當現有芯片無法滿足系統的需求時,就需要用FPGA來快速的定義一個能用的芯片。前面說了,FPGA裏面無非就是一些“真值表”、觸發器、各種連線以及一些硬件資源,電子系統工程師使用FPGA進行設計時無非就是考慮如何將這些以後資源組合起來實現一定的邏輯功能而已,而不必像IC設計工程師那樣一直要關注到最後芯片是不是能夠被製造出來。本質上和利用現有芯片組合成不同的電子系統沒有區別,只是需要關注更底層的資源而已。要想把FPGA用起來還是簡單的,因爲無非就是那些資源,在理解了前面兩點再搞個實驗板,跑跑實驗,做點簡單的東西是可以的。而真正要把FPGA用好,那光懂點FPGA知識就遠遠不夠了。因爲最終要讓FPGA裏面的資源如何組合,實現何種功能才能滿足系統的需要,那就需要懂得更多更廣泛的知識。

目前FPGA的應用主要是三個方向:

第一個方向:也是傳統方向主要用於通信設備的高速接口電路設計,這一方向主要是用FPGA處理高速接口的協議,並完成高速的數據收發和交換。這類應用通常要求採用具備高速收發接口的 FPGA,同時要求設計者懂得高速接口電路設計和高速數字電路板級設計,具備EMC/EMI設計知識,以及較好的模擬電路基礎,需要解決在高速收發過程中產生的信號完整性問題。FPGA最初以及到目前最廣的應用就是在通信領域,一方面通信領域需要高速的通信協議處理方式,另一方面通信協議隨時在修改,非常不適合做成專門的芯片。因此能夠靈活改變功能的FPGA就成爲首選。到目前爲止FPGA的一半以上的應用也是在通信行業。

第二個方向:可以稱爲數字信號處理方向或者數學計算方向,因爲很大程度上這一方向已經大大超出了信號處理的範疇。例如早就在2006年就聽說老美將FPGA用於金融數據分析,後來又見到有將FPGA用於醫學數據分析的案例。在這一方向要求FPGA設計者有一定的數學功底,能夠理解並改進較爲複雜的數學算法,並利用FPGA內部的各種資源使之能夠變爲實際的運算電路。目前真正投入實用的還是在通信領域的無線信號處理、信道編解碼以及圖像信號處理等領域,其它領域的研究正在開展中,之所以沒有大量實用的主要原因還是因爲學金融的、學醫學的不瞭解這玩意。不過最近發現歐美有很多電子工程、計算機類的博士轉入到金融行業,開展金融信號處理,相信隨着轉入的人增加,FPGA在其它領域的數學計算功能會更好的發揮出來,而我也有意做一些這些方面的研究。不過國內學金融的、學醫的恐怕連數學都很少用到,就不用說用FPGA來幫助他們完成數學_運算了,這個問題只有再議了。

第三個方向:就是所謂的SOPC方向,其實嚴格意義上來說這個已經在FPGA設計的範疇之內,只不過是利用FPGA這個平臺搭建的一個嵌入式系統的底層硬件環境,然後設計者主要是在上面進行嵌入式軟件開發而已。設計對於FPGA本身的設計時相當少的。但如果涉及到需要在FPGA做專門的算法加速,實際上需要用到第二個方向的知識,而如果需要設計專用的接口電路則需要用到第一個方向的知識。就目前SOPC方向發展其實遠不如第一和第二個方向,其主要原因是因爲SOPC以FPGA爲主,或者是在FPGA內部的資源實現一個“軟”的處理器,或者是在FPGA內部嵌入一個處理器核。但大多數的嵌入式設計卻是以軟件爲核心,以現有的硬件發展情況來看,多數情況下的接口都已經標準化,並不需要那麼大的FPGA邏輯資源去設計太過複雜的接口。而且就目前看來SOPC相關的開發工具還非常的不完善,以ARM爲代表的各類嵌入式處理器開發工具卻早已深入人心,大多數以ARM爲核心的SOC芯片提供了大多數標準的接口,大量成系列的單片機/嵌入式處理器提供了相關行業所需要的硬件加速電路,需要專門定製硬件場合確實很少。通常是在一些特種行業纔會在這方面有非常迫切的需求。即使目前Xilinx將ARM的硬核加入到FPGA裏面,相信目前的情況不會有太大改觀,不要忘了很多老掉牙的8位單片機還在嵌入式領域混呢,嵌入式主要不是靠硬件的差異而更多的是靠軟件的差異來體現價值的。我曾經看好的是 cypress的Psoc這一想法。和SOPC系列不同,Psoc的思想史載SOC芯片裏面去嵌入那麼一小塊FPGA,那這樣其實可以滿足嵌入式的那些微小的硬件接口差異,比如某個運用需要4個USB,而通常的處理器不會提供那麼多,就可以用這麼一塊FPGA來提供多的USB接口。而另一種運用需要6個 UART,也可以用同樣的方法完成。對於嵌入式設計公司來說他們只需要備貨一種芯片,就可以滿足這些設計中各種微小的差異變化。其主要的差異化仍然是通過軟件來完成。但目前cypress過於封閉,如果其採用ARM作爲處理器內核,藉助其完整的工具鏈。同時開放IP合作,讓大量的第三方爲它提供IP設計,其實是很有希望的。但目前cypress的日子怕不太好過,Psoc的思想也不知道何時能夠發光。

3、錯誤理解HDL語言,怎麼看都看不出硬件結構。

HDL語言的英語全稱是:Hardware Description Language,注意這個單詞Description,而不是Design。老外爲什麼要用Description這個詞而不是Design呢?因爲HDL確實不是用用來設計硬件的,而僅僅是用來描述硬件的。描述這個詞精確地反映了HDL語言的本質,HDL語言不過是已知硬件電路的文本表現形式而已,只是將以後的電路用文本的形式描述出來而已。而在編寫語言之前,硬件電路應該已經被設計出來了。語言只不過是將這種設計轉化爲文字表達形式而已。但是很多人就不理解了,既然硬件都已經被設計出來了,直接拿去製作不就完了,爲什麼還要轉化爲文字表達形式再通過EDA工具這些麻煩的流程呢?其實這就是很多菜鳥沒有了解設計的抽象層次的問題,任何設計包括什麼服裝、機械、廣告設計都有一個抽象層次的問題。就拿廣告設計來說吧,最初的設計也許就是一個概念,設計出這個概念也是就是一個點子而已,離最終拍成廣告還差得很遠。硬件設計也是有不同的抽象層次,每一個層次都需要設計。最高的抽象層次爲算法級、然後依次是體系結構級、寄存器傳輸級、門級、物理版圖級。使用HDL的好處在於我們已經設計好了一個寄存器傳輸級的電路,那麼用HDL描述以後轉化爲文本的形式,剩下的向更低層次的轉換就可以讓EDA工具去做了,這就大大的降低了工作量。這就是可綜合的概念,也就是說在對這一抽象層次上硬件單元進行描述可以被EDA工具理解並轉化爲底層的門級電路或其他結構的電路。在FPGA設計中,就是在將這以抽象層級的意見描述成HDL語言,就可以通過FPGA開發軟件轉化爲問題1中所述的FPGA內部邏輯功能實現形式。HDL也可以描述更高的抽象層級如算法級或者是體系結構級,但目前受限於EDA軟件的發展,EDA軟件還無法理解這麼高的抽象層次,所以 HDL描述這樣抽象層級是無法被轉化爲較低的抽象層級的,這也就是所謂的不可綜合。所以在閱讀或編寫HDL語言,尤其是可綜合的HDL,不應該看到的是語言本身,而是要看到語言背後所對應的硬件電路結構。如果看到的HDL始終是一條條的代碼,那麼這種人永遠擺脫不了菜鳥的宿命。假如哪一天看到的代碼不再是一行行的代碼而是一塊一塊的硬件模塊,那麼恭喜脫離了菜鳥的級別,進入不那麼菜的鳥級別。

4、數字邏輯知識是根本。

無論是FPGA的哪個方向,都離不開數字邏輯知識的支撐。FPGA說白了是一種實現數字邏輯的方式而已。如果連最基本的數字邏輯的知識都有問題,學習FPGA的願望只是空中樓閣而已。而這,恰恰是很多菜鳥最不願意去面對的問題。數字邏輯是任何電子電氣類專業的專業基礎知識,也是必須要學好的一門課。很多人無非是學習了,考個試,完了。如果不能將數字邏輯知識爛熟於心,養成良好的設計習慣,學FPGA到最後仍然是霧裏看花水中望月,始終是一場空的。以上四條只是我目前總結菜鳥們在學習FPGA時所最容易跑偏的地方,FPGA的學習其實就像學習圍棋一樣,學會如何在棋盤上落子很容易,成爲一位高手卻是難上加難。要真成爲李昌鎬那樣的神一般的選手,除了靠刻苦專研,恐怕還確實得要一點天賦。

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章