zynqmp專欄之《系統啓動Linux信息 完整版》

Xilinx Zynq MP First Stage Boot Loader
Release 2019.2   Oct 25 2019  -  08:29:27
NOTICE:  ATF running on XCZU9EG/silicon v4/RTL5.1 at 0xfffea000
NOTICE:  BL31: Secure code at 0x0
NOTICE:  BL31: Non secure code at 0x10080000
NOTICE:  BL31: v2.0(release):xilinx-v2019.1-12-g713dace9
NOTICE:  BL31: Built : 08:34:22, Oct 25 2019
PMUFW:  v1.1


U-Boot 2019.01 (Oct 25 2019 - 08:25:25 +0000)

Model: ZynqMP ZCU102 Rev1.0
Board: Xilinx ZynqMP
DRAM:  4 GiB
EL Level:       EL2
Chip ID:        zu9eg
MMC:   mmc@ff170000: 0
Loading Environment from SPI Flash... SF: Detected n25q512a with page size 512 Bytes, erase size 128 KiB, total 128 MiB
OK
In:    serial@ff000000
Out:   serial@ff000000
Err:   serial@ff000000
Model: ZynqMP ZCU102 Rev1.0
Board: Xilinx ZynqMP
Net:   ZYNQ GEM: ff0e0000, phyaddr c, interface rgmii-id

Warning: ethernet@ff0e0000 MAC addresses don't match:
Address in ROM is          01:02:03:04:05:06
Address in environment is  00:0a:35:00:22:01
eth0: ethernet@ff0e0000
Hit any key to stop autoboot:  0
Device: mmc@ff170000
Manufacturer ID: 3
OEM: 5344
Name: SB16G
Bus Speed: 187481250
Mode : UHS SDR104 (208MHz)
Rd Block Len: 512
SD version 3.0
High Capacity: Yes
Capacity: 14.8 GiB
Bus Width: 4-bit
Erase Group Size: 512 Bytes
63008056 bytes read in 4232 ms (14.2 MiB/s)
## Loading kernel from FIT Image at 10000000 ...
   Using '[email protected]' configuration
   Trying 'kernel@1' kernel subimage
     Description:  Linux kernel
     Type:         Kernel Image
     Compression:  uncompressed
     Data Start:   0x10000108
     Data Size:    18082304 Bytes = 17.2 MiB
     Architecture: AArch64
     OS:           Linux
     Load Address: 0x00080000
     Entry Point:  0x00080000
     Hash algo:    sha1
     Hash value:   9a1a6dc514e028d678da04a1713cfe8ffebaa9ef
   Verifying Hash Integrity ... sha1+ OK
## Loading ramdisk from FIT Image at 10000000 ...
   Using '[email protected]' configuration
   Trying 'ramdisk@1' ramdisk subimage
     Description:  petalinux-user-image
     Type:         RAMDisk Image
     Compression:  gzip compressed
     Data Start:   0x1114985c
     Data Size:    44879689 Bytes = 42.8 MiB
     Architecture: AArch64
     OS:           Linux
     Load Address: unavailable
     Entry Point:  unavailable
     Hash algo:    sha1
     Hash value:   447a5a0d69e59eb70cc13fae7d8ae2b5b7e27462
   Verifying Hash Integrity ... sha1+ OK
## Loading fdt from FIT Image at 10000000 ...
   Using '[email protected]' configuration
   Trying '[email protected]' fdt subimage
     Description:  Flattened Device Tree blob
     Type:         Flat Device Tree
     Compression:  uncompressed
     Data Start:   0x1113ec0c
     Data Size:    43913 Bytes = 42.9 KiB
     Architecture: AArch64
     Hash algo:    sha1
     Hash value:   761e4c91053bfb5754206eba17f8be4ace42158f
   Verifying Hash Integrity ... sha1+ OK
   Booting using the fdt blob at 0x1113ec0c
   Loading Kernel Image ... OK
   Loading Ramdisk to 76533000, end 78ffff49 ... OK
   Loading Device Tree to 0000000007ff2000, end 0000000007fffb88 ... OK

Starting kernel ...

[    0.000000] Booting Linux on physical CPU 0x0000000000 [0x410fd034]
[    0.000000] Linux version 4.19.0-xilinx-v2019.2 (oe-user@oe-host) (gcc version 8.2.0 (GCC)) #1 SMP Fri Oct 25 08:23:52 UTC 2019
[    0.000000] Machine model: ZynqMP ZCU102 Rev1.0
[    0.000000] earlycon: cdns0 at MMIO 0x00000000ff000000 (options '115200n8')
[    0.000000] bootconsole [cdns0] enabled
[    0.000000] efi: Getting EFI parameters from FDT:
[    0.000000] efi: UEFI not found.
[    0.000000] cma: Reserved 256 MiB at 0x0000000066400000
[    0.000000] psci: probing for conduit method from DT.
[    0.000000] psci: PSCIv1.1 detected in firmware.
[    0.000000] psci: Using standard PSCI v0.2 function IDs
[    0.000000] psci: MIGRATE_INFO_TYPE not supported.
[    0.000000] psci: SMC Calling Convention v1.1
[    0.000000] random: get_random_bytes called from start_kernel+0x94/0x3f8 with crng_init=0
[    0.000000] percpu: Embedded 23 pages/cpu @(____ptrval____) s53656 r8192 d32360 u94208
[    0.000000] Detected VIPT I-cache on CPU0
[    0.000000] CPU features: enabling workaround for ARM erratum 845719
[    0.000000] Speculative Store Bypass Disable mitigation not required
[    0.000000] CPU features: detected: Kernel page table isolation (KPTI)
[    0.000000] Built 1 zonelists, mobility grouping on.  Total pages: 1033987
[    0.000000] Kernel command line: earlycon console=ttyPS0,115200 clk_ignore_unused
[    0.000000] Dentry cache hash table entries: 524288 (order: 10, 4194304 bytes)
[    0.000000] Inode-cache hash table entries: 262144 (order: 9, 2097152 bytes)
[    0.000000] software IO TLB: mapped [mem 0x7beff000-0x7feff000] (64MB)
[    0.000000] Memory: 3738908K/4193280K available (10748K kernel code, 634K rwdata, 5424K rodata, 832K init, 314K bss, 192228K reserved, 262144K cma-reserved)
[    0.000000] rcu: Hierarchical RCU implementation.
[    0.000000] rcu:     RCU event tracing is enabled.
[    0.000000] rcu:     RCU restricting CPUs from NR_CPUS=8 to nr_cpu_ids=4.
[    0.000000] rcu: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=4
[    0.000000] NR_IRQS: 64, nr_irqs: 64, preallocated irqs: 0
[    0.000000] GIC: Adjusting CPU interface base to 0x00000000f902f000
[    0.000000] GIC: Using split EOI/Deactivate mode
[    0.000000] arch_timer: cp15 timer(s) running at 99.99MHz (phys).
[    0.000000] clocksource: arch_sys_counter: mask: 0xffffffffffffff max_cycles: 0x170f8de2d3, max_idle_ns: 440795206112 ns
[    0.000003] sched_clock: 56 bits at 99MHz, resolution 10ns, wraps every 4398046511101ns
[    0.008254] Console: colour dummy device 80x25
[    0.012392] Calibrating delay loop (skipped), value calculated using timer frequency.. 199.98 BogoMIPS (lpj=399960)
[    0.022756] pid_max: default: 32768 minimum: 301
[    0.027447] Mount-cache hash table entries: 8192 (order: 4, 65536 bytes)
[    0.034011] Mountpoint-cache hash table entries: 8192 (order: 4, 65536 bytes)
[    0.041806] ASID allocator initialised with 32768 entries
[    0.046509] rcu: Hierarchical SRCU implementation.
[    0.051542] EFI services will not be available.
[    0.055827] smp: Bringing up secondary CPUs ...
[    0.060488] Detected VIPT I-cache on CPU1
[    0.060517] CPU1: Booted secondary processor 0x0000000001 [0x410fd034]
[    0.060822] Detected VIPT I-cache on CPU2
[    0.060841] CPU2: Booted secondary processor 0x0000000002 [0x410fd034]
[    0.061127] Detected VIPT I-cache on CPU3
[    0.061146] CPU3: Booted secondary processor 0x0000000003 [0x410fd034]
[    0.061189] smp: Brought up 1 node, 4 CPUs
[    0.095681] SMP: Total of 4 processors activated.
[    0.100354] CPU features: detected: 32-bit EL0 Support
[    0.106984] CPU: All CPU(s) started at EL2
[    0.109534] alternatives: patching kernel code
[    0.114809] devtmpfs: initialized
[    0.122049] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 7645041785100000 ns
[    0.126923] futex hash table entries: 1024 (order: 4, 65536 bytes)
[    0.138601] xor: measuring software checksum speed
[    0.177125]    8regs     :  2375.000 MB/sec
[    0.217151]    8regs_prefetch:  2052.000 MB/sec
[    0.257181]    32regs    :  2724.000 MB/sec
[    0.297211]    32regs_prefetch:  2308.000 MB/sec
[    0.297252] xor: using function: 32regs (2724.000 MB/sec)
[    0.301561] pinctrl core: initialized pinctrl subsystem
[    0.307362] NET: Registered protocol family 16
[    0.311404] audit: initializing netlink subsys (disabled)
[    0.316590] audit: type=2000 audit(0.264:1): state=initialized audit_enabled=0 res=1
[    0.324242] cpuidle: using governor menu
[    0.328218] vdso: 2 pages (1 code @ (____ptrval____), 1 data @ (____ptrval____))
[    0.335471] hw-breakpoint: found 6 breakpoint and 4 watchpoint registers.
[    0.342928] DMA: preallocated 256 KiB pool for atomic allocations
[    0.362852] HugeTLB registered 2.00 MiB page size, pre-allocated 0 pages
[    0.428485] raid6: int64x1  gen()   447 MB/s
[    0.496514] raid6: int64x1  xor()   451 MB/s
[    0.564529] raid6: int64x2  gen()   683 MB/s
[    0.632576] raid6: int64x2  xor()   598 MB/s
[    0.700675] raid6: int64x4  gen()   980 MB/s
[    0.768736] raid6: int64x4  xor()   736 MB/s
[    0.836785] raid6: int64x8  gen()  1166 MB/s
[    0.904838] raid6: int64x8  xor()   758 MB/s
[    0.972968] raid6: neonx1   gen()   737 MB/s
[    1.040964] raid6: neonx1   xor()   878 MB/s
[    1.109041] raid6: neonx2   gen()  1130 MB/s
[    1.177097] raid6: neonx2   xor()  1171 MB/s
[    1.245177] raid6: neonx4   gen()  1482 MB/s
[    1.313241] raid6: neonx4   xor()  1417 MB/s
[    1.381291] raid6: neonx8   gen()  1542 MB/s
[    1.449373] raid6: neonx8   xor()  1460 MB/s
[    1.449411] raid6: using algorithm neonx8 gen() 1542 MB/s
[    1.453365] raid6: .... xor() 1460 MB/s, rmw enabled
[    1.458296] raid6: using neon recovery algorithm
[    1.463697] SCSI subsystem initialized
[    1.466768] usbcore: registered new interface driver usbfs
[    1.472086] usbcore: registered new interface driver hub
[    1.477361] usbcore: registered new device driver usb
[    1.482404] media: Linux media interface: v0.10
[    1.486868] videodev: Linux video capture interface: v2.00
[    1.492318] pps_core: LinuxPPS API ver. 1 registered
[    1.497226] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <[email protected]>
[    1.506321] PTP clock support registered
[    1.510217] EDAC MC: Ver: 3.0.0
[    1.513717] zynqmp-ipi-mbox mailbox@ff990400: Probed ZynqMP IPI Mailbox driver.
[    1.520863] FPGA manager framework
[    1.524113] Advanced Linux Sound Architecture Driver Initialized.
[    1.530291] Bluetooth: Core ver 2.22
[    1.533577] NET: Registered protocol family 31
[    1.537973] Bluetooth: HCI device and connection manager initialized
[    1.544289] Bluetooth: HCI socket layer initialized
[    1.549132] Bluetooth: L2CAP socket layer initialized
[    1.554164] Bluetooth: SCO socket layer initialized
[    1.559304] clocksource: Switched to clocksource arch_sys_counter
[    1.565120] VFS: Disk quotas dquot_6.6.0
[    1.569004] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes)
[    1.580203] NET: Registered protocol family 2
[    1.580601] tcp_listen_portaddr_hash hash table entries: 2048 (order: 3, 32768 bytes)
[    1.587948] TCP established hash table entries: 32768 (order: 6, 262144 bytes)
[    1.595267] TCP bind hash table entries: 32768 (order: 7, 524288 bytes)
[    1.602044] TCP: Hash tables configured (established 32768 bind 32768)
[    1.608193] UDP hash table entries: 2048 (order: 4, 65536 bytes)
[    1.614170] UDP-Lite hash table entries: 2048 (order: 4, 65536 bytes)
[    1.620646] NET: Registered protocol family 1
[    1.625036] RPC: Registered named UNIX socket transport module.
[    1.630767] RPC: Registered udp transport module.
[    1.635380] RPC: Registered tcp transport module.
[    1.640052] RPC: Registered tcp NFSv4.1 backchannel transport module.
[    1.646805] Trying to unpack rootfs image as initramfs...
[    3.578807] Freeing initrd memory: 43824K
[    3.579189] hw perfevents: no interrupt-affinity property for /pmu, guessing.
[    3.584444] hw perfevents: enabled with armv8_pmuv3 PMU driver, 7 counters available
[    3.592967] Initialise system trusted keyrings
[    3.596482] workingset: timestamp_bits=62 max_order=20 bucket_order=0
[    3.603524] NFS: Registering the id_resolver key type
[    3.607842] Key type id_resolver registered
[    3.611965] Key type id_legacy registered
[    3.615949] nfs4filelayout_init: NFSv4 File Layout Driver Registering...
[    3.622617] jffs2: version 2.2. (NAND) © 2001-2006 Red Hat, Inc.
[    4.716218] NET: Registered protocol family 38
[    4.778740] Key type asymmetric registered
[    4.778779] Asymmetric key parser 'x509' registered
[    4.782089] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 247)
[    4.789402] io scheduler noop registered
[    4.793292] io scheduler deadline registered
[    4.797566] io scheduler cfq registered (default)
[    4.802203] io scheduler mq-deadline registered
[    4.806700] io scheduler kyber registered
[    4.838987] Serial: 8250/16550 driver, 4 ports, IRQ sharing disabled
[    4.843626] cacheinfo: Unable to detect cache hierarchy for CPU 0
[    4.850371] brd: module loaded
[    4.854259] loop: module loaded
[    4.855191] mtdoops: mtd device (mtddev=name/number) must be supplied
[    4.859662] libphy: Fixed MDIO Bus: probed
[    4.863645] tun: Universal TUN/TAP device driver, 1.6
[    4.867497] CAN device driver interface
[    4.872293] usbcore: registered new interface driver asix
[    4.876588] usbcore: registered new interface driver ax88179_178a
[    4.882627] usbcore: registered new interface driver cdc_ether
[    4.888430] usbcore: registered new interface driver net1080
[    4.894046] usbcore: registered new interface driver cdc_subset
[    4.899928] usbcore: registered new interface driver zaurus
[    4.905476] usbcore: registered new interface driver cdc_ncm
[    4.911629] ehci_hcd: USB 2.0 'Enhanced' Host Controller (EHCI) Driver
[    4.917555] ehci-pci: EHCI PCI platform driver
[    4.922287] usbcore: registered new interface driver uas
[    4.927274] usbcore: registered new interface driver usb-storage
[    4.933761] rtc_zynqmp ffa60000.rtc: rtc core: registered ffa60000.rtc as rtc0
[    4.940455] i2c /dev entries driver
[    4.945695] usbcore: registered new interface driver uvcvideo
[    4.949562] USB Video Class driver (1.1.1)
[    4.954676] Bluetooth: HCI UART driver ver 2.3
[    4.958044] Bluetooth: HCI UART protocol H4 registered
[    4.963143] Bluetooth: HCI UART protocol BCSP registered
[    4.968443] Bluetooth: HCI UART protocol LL registered
[    4.973524] Bluetooth: HCI UART protocol ATH3K registered
[    4.978904] Bluetooth: HCI UART protocol Three-wire (H5) registered
[    4.985160] Bluetooth: HCI UART protocol Intel registered
[    4.990498] Bluetooth: HCI UART protocol QCA registered
[    4.995701] usbcore: registered new interface driver bcm203x
[    5.001321] usbcore: registered new interface driver bpa10x
[    5.006857] usbcore: registered new interface driver bfusb
[    5.012306] usbcore: registered new interface driver btusb
[    5.017730] Bluetooth: Generic Bluetooth SDIO driver ver 0.1
[    5.023399] usbcore: registered new interface driver ath3k
[    5.028929] EDAC MC: ECC not enabled
[    5.032600] EDAC DEVICE0: Giving out device to module zynqmp-ocm-edac controller zynqmp_ocm: DEV ff960000.memory-controller (INTERRUPT)
[    5.045191] sdhci: Secure Digital Host Controller Interface driver
[    5.050604] sdhci: Copyright(c) Pierre Ossman
[    5.054927] sdhci-pltfm: SDHCI platform and OF driver helper
[    5.060901] ledtrig-cpu: registered to indicate activity on CPUs
[    5.066566] zynqmp_firmware_probe Platform Management API v1.1
[    5.072320] zynqmp_firmware_probe Trustzone version v1.0
[    5.080395] zynqmp-pinctrl firmware:zynqmp-firmware:pinctrl: zynqmp pinctrl initialized
[    5.107912] zynqmp_clk_mux_get_parent() getparent failed for clock: lpd_wdt, ret = -22
[    5.110641] alg: No test for xilinx-zynqmp-aes (zynqmp-aes)
[    5.115744] zynqmp_aes zynqmp_aes: AES Successfully Registered
[    5.115744]
[    5.123267] alg: No test for xilinx-keccak-384 (zynqmp-keccak-384)
[    5.129404] alg: No test for xilinx-zynqmp-rsa (zynqmp-rsa)
[    5.135050] usbcore: registered new interface driver usbhid
[    5.140289] usbhid: USB HID core driver
[    5.146587] fpga_manager fpga0: Xilinx ZynqMP FPGA Manager registered
[    5.150979] usbcore: registered new interface driver snd-usb-audio
[    5.157603] pktgen: Packet Generator for packet performance testing. Version: 2.75
[    5.164748] Initializing XFRM netlink socket
[    5.168491] NET: Registered protocol family 10
[    5.173160] Segment Routing with IPv6
[    5.176533] sit: IPv6, IPv4 and MPLS over IPv4 tunneling driver
[    5.182661] NET: Registered protocol family 17
[    5.186752] NET: Registered protocol family 15
[    5.191165] bridge: filtering via arp/ip/ip6tables is no longer available by default. Update your scripts to load br_netfilter if you need this.
[    5.204084] can: controller area network core (rev 20170425 abi 9)
[    5.210213] NET: Registered protocol family 29
[    5.214600] can: raw protocol (rev 20170425)
[    5.218837] can: broadcast manager protocol (rev 20170425 t)
[    5.224462] can: netlink gateway (rev 20170425) max_hops=1
[    5.230155] Bluetooth: RFCOMM TTY layer initialized
[    5.234762] Bluetooth: RFCOMM socket layer initialized
[    5.239872] Bluetooth: RFCOMM ver 1.11
[    5.243584] Bluetooth: BNEP (Ethernet Emulation) ver 1.3
[    5.248855] Bluetooth: BNEP filters: protocol multicast
[    5.254048] Bluetooth: BNEP socket layer initialized
[    5.258977] Bluetooth: HIDP (Human Interface Emulation) ver 1.2
[    5.264861] Bluetooth: HIDP socket layer initialized
[    5.269919] 9pnet: Installing 9P2000 support
[    5.274044] Key type dns_resolver registered
[    5.278656] registered taskstats version 1
[    5.282339] Loading compiled-in X.509 certificates
[    5.287428] Btrfs loaded, crc32c=crc32c-generic
[    5.297872] ff000000.serial: ttyPS0 at MMIO 0xff000000 (irq = 47, base_baud = 6249375) is a xuartps
[    5.307312] console [ttyPS0] enabled
[    5.307312] console [ttyPS0] enabled
[    5.310904] bootconsole [cdns0] disabled
[    5.310904] bootconsole [cdns0] disabled
[    5.319028] ff010000.serial: ttyPS1 at MMIO 0xff010000 (irq = 48, base_baud = 6249375) is a xuartps
[    5.332379] of-fpga-region fpga-full: FPGA Region probed
[    5.338313] nwl-pcie fd0e0000.pcie: Link is UP
[    5.342787] nwl-pcie fd0e0000.pcie: host bridge /amba/pcie@fd0e0000 ranges:
[    5.349756] nwl-pcie fd0e0000.pcie:   MEM 0xe0000000..0xefffffff -> 0xe0000000
[    5.356976] nwl-pcie fd0e0000.pcie:   MEM 0x600000000..0x7ffffffff -> 0x600000000
[    5.364560] nwl-pcie fd0e0000.pcie: PCI host bridge to bus 0000:00
[    5.370742] pci_bus 0000:00: root bus resource [bus 00-ff]
[    5.376226] pci_bus 0000:00: root bus resource [mem 0xe0000000-0xefffffff]
[    5.383094] pci_bus 0000:00: root bus resource [mem 0x600000000-0x7ffffffff pref]
[    5.393188] pci 0000:01:00.0: 4.000 Gb/s available PCIe bandwidth, limited by 5 GT/s x1 link at 0000:00:00.0 (capable of 31.504 Gb/s with 8 GT/s x4 link)
[    5.409050] pci 0000:00:00.0: BAR 8: assigned [mem 0xe0000000-0xe00fffff]
[    5.415841] pci 0000:01:00.0: BAR 0: assigned [mem 0xe0000000-0xe0003fff 64bit]
[    5.423157] pci 0000:00:00.0: PCI bridge to [bus 01-0c]
[    5.428381] pci 0000:00:00.0:   bridge window [mem 0xe0000000-0xe00fffff]
[    5.435786] xilinx-dpdma fd4c0000.dma: Xilinx DPDMA engine is probed
[    5.442375] xilinx-zynqmp-dma fd500000.dma: ZynqMP DMA driver Probe success
[    5.449491] xilinx-zynqmp-dma fd510000.dma: ZynqMP DMA driver Probe success
[    5.456593] xilinx-zynqmp-dma fd520000.dma: ZynqMP DMA driver Probe success
[    5.463695] xilinx-zynqmp-dma fd530000.dma: ZynqMP DMA driver Probe success
[    5.470805] xilinx-zynqmp-dma fd540000.dma: ZynqMP DMA driver Probe success
[    5.477913] xilinx-zynqmp-dma fd550000.dma: ZynqMP DMA driver Probe success
[    5.485017] xilinx-zynqmp-dma fd560000.dma: ZynqMP DMA driver Probe success
[    5.492125] xilinx-zynqmp-dma fd570000.dma: ZynqMP DMA driver Probe success
[    5.499328] xilinx-zynqmp-dma ffa80000.dma: ZynqMP DMA driver Probe success
[    5.506431] xilinx-zynqmp-dma ffa90000.dma: ZynqMP DMA driver Probe success
[    5.513542] xilinx-zynqmp-dma ffaa0000.dma: ZynqMP DMA driver Probe success
[    5.520652] xilinx-zynqmp-dma ffab0000.dma: ZynqMP DMA driver Probe success
[    5.527759] xilinx-zynqmp-dma ffac0000.dma: ZynqMP DMA driver Probe success
[    5.534863] xilinx-zynqmp-dma ffad0000.dma: ZynqMP DMA driver Probe success
[    5.541969] xilinx-zynqmp-dma ffae0000.dma: ZynqMP DMA driver Probe success
[    5.549076] xilinx-zynqmp-dma ffaf0000.dma: ZynqMP DMA driver Probe success
[    5.556325] xilinx-psgtr fd400000.zynqmp_phy: Lane:1 type:8 protocol:4 pll_locked:yes
[    5.567386] xilinx-dp-snd-codec fd4a0000.zynqmp-display:zynqmp_dp_snd_codec0: Xilinx DisplayPort Sound Codec probed
[    5.578092] xilinx-dp-snd-pcm zynqmp_dp_snd_pcm0: Xilinx DisplayPort Sound PCM probed
[    5.586140] xilinx-dp-snd-pcm zynqmp_dp_snd_pcm1: Xilinx DisplayPort Sound PCM probed
[    5.594801] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: xilinx-dp-snd-codec-dai <-> xilinx-dp-snd-codec-dai mapping ok
[    5.607242] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: xilinx-dp-snd-codec-dai <-> xilinx-dp-snd-codec-dai mapping ok
[    5.619942] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: Xilinx DisplayPort Sound Card probed
[    5.630136] OF: graph: no port node found in /amba/zynqmp-display@fd4a0000
[    5.637157] [drm] Supports vblank timestamp caching Rev 2 (21.10.2013).
[    5.643770] [drm] No driver support for vblank timestamp query.
[    5.649742] xlnx-drm xlnx-drm.0: bound fd4a0000.zynqmp-display (ops 0xffffff8008bbeb78)
[    6.735312] [drm] Cannot find any crtc or sizes
[    6.740071] [drm] Initialized xlnx 1.0.0 20130509 for fd4a0000.zynqmp-display on minor 0
[    6.748177] zynqmp-display fd4a0000.zynqmp-display: ZynqMP DisplayPort Subsystem driver probed
[    6.757164] xilinx-psgtr fd400000.zynqmp_phy: Lane:3 type:3 protocol:2 pll_locked:yes
[    6.775108] ahci-ceva fd0c0000.ahci: AHCI 0001.0301 32 slots 2 ports 6 Gbps 0x3 impl platform mode
[    6.784067] ahci-ceva fd0c0000.ahci: flags: 64bit ncq sntf pm clo only pmp fbs pio slum part ccc sds apst
[    6.794480] scsi host0: ahci-ceva
[    6.798054] scsi host1: ahci-ceva
[    6.801510] ata1: SATA max UDMA/133 mmio [mem 0xfd0c0000-0xfd0c1fff] port 0x100 irq 45
[    6.809419] ata2: SATA max UDMA/133 mmio [mem 0xfd0c0000-0xfd0c1fff] port 0x180 irq 45
[    6.818546] m25p80 spi0.0: n25q512a (131072 Kbytes)
[    6.823439] 3 fixed-partitions partitions found on MTD device spi0.0
[    6.829781] Creating 3 MTD partitions on "spi0.0":
[    6.834568] 0x000000000000-0x000001e00000 : "boot"
[    6.839812] 0x000001e00000-0x000001e40000 : "bootenv"
[    6.845259] 0x000001e40000-0x000004240000 : "kernel"
[    6.852735] macb ff0e0000.ethernet: Not enabling partial store and forward
[    6.860094] libphy: MACB_mii_bus: probed
[    6.868487] TI DP83867 ff0e0000.ethernet-ffffffff:0c: attached PHY driver [TI DP83867] (mii_bus:phy_addr=ff0e0000.ethernet-ffffffff:0c, irq=POLL)
[    6.881535] macb ff0e0000.ethernet eth0: Cadence GEM rev 0x50070106 at 0xff0e0000 irq 30 (00:0a:35:00:22:01)
[    6.891748] xilinx-axipmon ffa00000.perf-monitor: Probed Xilinx APM
[    6.898286] xilinx-axipmon fd0b0000.perf-monitor: Probed Xilinx APM
[    6.904778] xilinx-axipmon fd490000.perf-monitor: Probed Xilinx APM
[    6.911266] xilinx-axipmon ffa10000.perf-monitor: Probed Xilinx APM
[    6.919361] dwc3 fe200000.dwc3: Failed to get clk 'ref': -2
[    6.925225] xilinx-psgtr fd400000.zynqmp_phy: Lane:2 type:0 protocol:3 pll_locked:yes
[    6.933601] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller
[    6.939098] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus number 1
[    6.947090] xhci-hcd xhci-hcd.0.auto: hcc params 0x0238f625 hci version 0x100 quirks 0x0000000202010810
[    6.956495] xhci-hcd xhci-hcd.0.auto: irq 54, io mem 0xfe200000
[    6.962621] usb usb1: New USB device found, idVendor=1d6b, idProduct=0002, bcdDevice= 4.19
[    6.970886] usb usb1: New USB device strings: Mfr=3, Product=2, SerialNumber=1
[    6.978104] usb usb1: Product: xHCI Host Controller
[    6.982973] usb usb1: Manufacturer: Linux 4.19.0-xilinx-v2019.2 xhci-hcd
[    6.989666] usb usb1: SerialNumber: xhci-hcd.0.auto
[    6.994813] hub 1-0:1.0: USB hub found
[    6.998582] hub 1-0:1.0: 1 port detected
[    7.002691] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller
[    7.008175] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus number 2
[    7.015829] xhci-hcd xhci-hcd.0.auto: Host supports USB 3.0  SuperSpeed
[    7.022552] usb usb2: New USB device found, idVendor=1d6b, idProduct=0003, bcdDevice= 4.19
[    7.030812] usb usb2: New USB device strings: Mfr=3, Product=2, SerialNumber=1
[    7.038029] usb usb2: Product: xHCI Host Controller
[    7.042898] usb usb2: Manufacturer: Linux 4.19.0-xilinx-v2019.2 xhci-hcd
[    7.049590] usb usb2: SerialNumber: xhci-hcd.0.auto
[    7.054715] hub 2-0:1.0: USB hub found
[    7.058474] hub 2-0:1.0: 1 port detected
[    7.063528] pca953x 0-0020: 0-0020 supply vcc not found, using dummy regulator
[    7.070778] pca953x 0-0020: Linked as a consumer to regulator.0
[    7.077437] pca953x 0-0021: 0-0021 supply vcc not found, using dummy regulator
[    7.084678] pca953x 0-0021: Linked as a consumer to regulator.0
[    7.091983] ina2xx 3-0040: power monitor ina226 (Rshunt = 5000 uOhm)
[    7.098765] ina2xx 3-0041: power monitor ina226 (Rshunt = 5000 uOhm)
[    7.105560] ina2xx 3-0042: power monitor ina226 (Rshunt = 5000 uOhm)
[    7.112352] ina2xx 3-0043: power monitor ina226 (Rshunt = 5000 uOhm)
[    7.119140] ina2xx 3-0044: power monitor ina226 (Rshunt = 5000 uOhm)
[    7.125929] ina2xx 3-0045: power monitor ina226 (Rshunt = 5000 uOhm)
[    7.129497] ata1: SATA link down (SStatus 0 SControl 330)
[    7.137691] ata2: SATA link down (SStatus 0 SControl 330)
[    7.137854] ina2xx 3-0046: power monitor ina226 (Rshunt = 5000 uOhm)
[    7.149870] ina2xx 3-0047: power monitor ina226 (Rshunt = 5000 uOhm)
[    7.156664] ina2xx 3-004a: power monitor ina226 (Rshunt = 5000 uOhm)
[    7.163463] ina2xx 3-004b: power monitor ina226 (Rshunt = 5000 uOhm)
[    7.169843] i2c i2c-0: Added multiplexed i2c bus 3
[    7.175262] ina2xx 4-0040: power monitor ina226 (Rshunt = 2000 uOhm)
[    7.182048] ina2xx 4-0041: power monitor ina226 (Rshunt = 5000 uOhm)
[    7.188847] ina2xx 4-0042: power monitor ina226 (Rshunt = 5000 uOhm)
[    7.195639] ina2xx 4-0043: power monitor ina226 (Rshunt = 5000 uOhm)
[    7.202426] ina2xx 4-0044: power monitor ina226 (Rshunt = 5000 uOhm)
[    7.209213] ina2xx 4-0045: power monitor ina226 (Rshunt = 5000 uOhm)
[    7.216005] ina2xx 4-0046: power monitor ina226 (Rshunt = 5000 uOhm)
[    7.222794] ina2xx 4-0047: power monitor ina226 (Rshunt = 5000 uOhm)
[    7.229171] i2c i2c-0: Added multiplexed i2c bus 4
[    7.252762] random: fast init done
[    7.271469] i2c i2c-0: Added multiplexed i2c bus 5
[    7.276393] i2c i2c-0: Added multiplexed i2c bus 6
[    7.281185] pca954x 0-0075: registered 4 multiplexed busses for I2C mux pca9544
[    7.288516] cdns-i2c ff020000.i2c: 400 kHz mmio ff020000 irq 32
[    7.296229] at24 7-0054: 1024 byte 24c08 EEPROM, writable, 1 bytes/write
[    7.302959] i2c i2c-1: Added multiplexed i2c bus 7
[    7.307954] i2c i2c-1: Added multiplexed i2c bus 8
[    7.314828] si570 9-005d: registered, current frequency 300000000 Hz
[    7.321205] i2c i2c-1: Added multiplexed i2c bus 9
[    7.339305] si570 10-005d: registered, current frequency 148500000 Hz
[    7.345772] i2c i2c-1: Added multiplexed i2c bus 10
[    7.350848] si5324 11-0069: si5328 probed
[    7.412668] si5324 11-0069: si5328 probe successful
[    7.417570] i2c i2c-1: Added multiplexed i2c bus 11
[    7.422571] i2c i2c-1: Added multiplexed i2c bus 12
[    7.427571] i2c i2c-1: Added multiplexed i2c bus 13
[    7.432570] i2c i2c-1: Added multiplexed i2c bus 14
[    7.437451] pca954x 1-0074: registered 8 multiplexed busses for I2C switch pca9548
[    7.445357] i2c i2c-1: Added multiplexed i2c bus 15
[    7.450377] i2c i2c-1: Added multiplexed i2c bus 16
[    7.455387] i2c i2c-1: Added multiplexed i2c bus 17
[    7.460769] i2c i2c-1: Added multiplexed i2c bus 18
[    7.465775] i2c i2c-1: Added multiplexed i2c bus 19
[    7.470789] i2c i2c-1: Added multiplexed i2c bus 20
[    7.475788] i2c i2c-1: Added multiplexed i2c bus 21
[    7.480795] i2c i2c-1: Added multiplexed i2c bus 22
[    7.485670] pca954x 1-0075: registered 8 multiplexed busses for I2C switch pca9548
[    7.493260] cdns-i2c ff030000.i2c: 400 kHz mmio ff030000 irq 33
[    7.502193] cdns-wdt fd4d0000.watchdog: Xilinx Watchdog Timer with timeout 60s
[    7.509657] cdns-wdt ff150000.watchdog: Xilinx Watchdog Timer with timeout 10s
[    7.517172] cpufreq: cpufreq_online: CPU0: Running at unlisted freq: 1199880 KHz
[    7.524622] cpufreq: cpufreq_online: CPU0: Unlisted initial frequency changed to: 1199999 KHz
[    7.563303] mmc0: SDHCI controller on ff170000.mmc [ff170000.mmc] using ADMA 64-bit
[    7.584415] input: gpio-keys as /devices/platform/gpio-keys/input/input0
[    7.591445] rtc_zynqmp ffa60000.rtc: setting system clock to 2019-11-01 02:52:21 UTC (1572576741)
[    7.600318] of_cfs_init
[    7.602769] of_cfs_init: OK
[    7.605688] cfg80211: Loading compiled-in X.509 certificates for regulatory database
[    7.672992] mmc0: new ultra high speed SDR104 SDHC card at address aaaa
[    7.680146] mmcblk0: mmc0:aaaa SB16G 14.8 GiB
[    7.688802]  mmcblk0: p1 p2 p3 p4
[    7.750413] cfg80211: Loaded X.509 cert 'sforshee: 00b28ddf47aef9cea7'
[    7.756952] clk: Not disabling unused clocks
[    7.761217] ALSA device list:
[    7.764172]   #0: DisplayPort monitor
[    7.768111] platform regulatory.0: Direct firmware load for regulatory.db failed with error -2
[    7.776724] cfg80211: failed to load regulatory.db
[    7.782531] Freeing unused kernel memory: 832K
[    7.795325] Run /init as init process
INIT: version 2.88 booting
[    7.875333] [drm] Cannot find any crtc or sizes
Starting udev
[    7.921255] udevd[2002]: starting version 3.2.5
[    7.926081] random: udevd: uninitialized urandom read (16 bytes read)
[    7.932592] random: udevd: uninitialized urandom read (16 bytes read)
[    7.939083] random: udevd: uninitialized urandom read (16 bytes read)
[    7.949814] udevd[2003]: starting eudev-3.2.5
[    8.009794] mali: loading out-of-tree module taints kernel.
[    8.418193] EXT4-fs (mmcblk0p4): recovery complete
[    8.422998] EXT4-fs (mmcblk0p4): mounted filesystem with ordered data mode. Opts: (null)
[    8.425733] EXT4-fs (mmcblk0p2): recovery complete
[    8.429391] EXT4-fs (mmcblk0p3): recovery complete
[    8.429401] EXT4-fs (mmcblk0p3): mounted filesystem with ordered data mode. Opts: (null)
[    8.434361] FAT-fs (mmcblk0p1): Volume was not properly unmounted. Some data may be corrupt. Please run fsck.
[    8.435911] EXT4-fs (mmcblk0p2): mounted filesystem with ordered data mode. Opts: (null)
Configuring packages on first boot....
 (This may take several minutes. Please do not power off the machine.)
Running postinst /etc/rpm-postinsts/100-sysvinit-inittab...
Running postinst /etc/rpm-postinsts/101-libmali-xlnx...
[    8.849679] update-alternatives: Linking /usr/lib/libMali.so.9.0 to /usr/lib/x11/libMali.so.9.0
[    8.901371] update-alternatives: Linking /usr/lib/libMali.so.9.0 to /usr/lib/x11/libMali.so.9.0
[    8.935645] Warn: update-alternatives: libmali-xlnx has multiple providers with the same priority, please check /usr/lib/opkg/alternatives/libmali-xlnx for details
[    8.967541] update-alternatives: Linking /usr/lib/libMali.so.9.0 to /usr/lib/x11/libMali.so.9.0
[    9.019396] update-alternatives: Linking /usr/lib/libMali.so.9.0 to /usr/lib/x11/libMali.so.9.0
update-rc.d: /etc/init.d/run-postinsts exists during rc.d purge (continuing)
INIT: Entering runlevel: 5
Configuring network interfaces... [    9.105043] pps pps0: new PPS source ptp0
[    9.109070] macb ff0e0000.ethernet: gem-ptp-timer ptp clock registered.
[    9.115779] IPv6: ADDRCONF(NETDEV_UP): eth0: link is not ready
udhcpc: started, v1.29.2
udhcpc: sending discover
udhcpc: sending discover
udhcpc: sending discover
udhcpc: no lease, forking to background
done.
Starting system message bus: dbus.
Starting haveged: haveged: listening socket at 3
haveged: haveged starting up


Starting Dropbear SSH server: Generating 2048 bit rsa key, this may take a while...
haveged: haveged: ver: 1.9.4; arch: generic; vend: ; build: (gcc 8.2.0 CTV); collect: 128K

haveged: haveged: cpu: (VC); data: 16K (D); inst: 16K (D); idx: 11/40; sz: 15528/64688

haveged: haveged: tot tests(BA8): A:1/1 B:1/1 continuous tests(B):  last entropy estimate 8.00167

haveged: haveged: fills: 0, generated: 0

[   19.109652] random: crng init done
[   19.113054] random: 7 urandom warning(s) missed due to ratelimiting
Public key portion is:
ssh-rsa AAAAB3NzaC1yc2EAAAADAQABAAABAQCDlav9W39+0Uz1BXQWlg30BQEDATsIZrM41HdP7ZpwpQjgjfc+OHUuMqoQuJIgnOFyUeJI3ZR7VKE8E3iGF6yyB0bjxBsW8Jnxwrd+Us1s+PFfcyuMhCD3k2Y1sJFMbAFy5x0VTlIfaAj/4cv8egY84dl/zvQJpzBOuoCGx2A+km9w5XRkPsXAdBk2mlg4+p2hPwn9mv3D/6r4HVEPtJFDT7t3vTnZL4qBi68pS/SgVK0kGRhdBp6l+2uya3XU6lXv3zbECJWYCGSkc8TL7OsyzuMGlPX0qebsWpIjtGCVP0fosqJtL4hl3l4zsr9CFdLY5+ZCDhPjMbhiSaL/DHQV root@xilinx-zcu102-2019_2
Fingerprint: sha1!! 62:10:d1:a3:ff:1c:b8:55:8b:e5:c3:81:6c:b1:cb:e7:b0:8e:0e:37
dropbear.
Starting internet superserver: inetd.
Starting syslogd/klogd: done
Starting tcf-agent: OK

PetaLinux 2019.2 xilinx-zcu102-2019_2 /dev/ttyPS0

xilinx-zcu102-2019_2 login:

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章