.Linux-2.6.32.2內核在mini2440上的移植(十七)---移植PWM控制蜂鳴器驅動

 

移植環境(紅色粗字體字修改後內容,藍色粗體字爲特別注意內容)

1,主機環境:VMare下CentOS 5.5 ,1G內存。

2,集成開發環境:Elipse IDE

3,編譯編譯環境:arm-linux-gcc v4.4.3,arm-none-linux-gnueabi-gcc v4.5.1。

4,開發板:mini2440,2M nor flash,128M nand flash。

5,u-boot版本:u-boot-2009.08

6,linux 版本:linux-2.6.32.2

7,參考文章:

嵌入式linux應用開發完全手冊,韋東山,編著。

Mini2440 之Linux 移植開發實戰指南

【1】硬件原理

Mini2440 板帶有一個蜂鳴器,它是由PWM 控制的,下面是它的連接原理圖:

Linux-2.6.32.2內核在mini2440上的移植(十七)---移植PWM控制蜂鳴器驅動 - singleboy - singleboy的博客

 可以看出,蜂鳴器所用的GPB0 端口複用的功能爲TOUT0,它其實也就是PWM 輸出。這在S3C2440 手冊中可以看到:

Linux-2.6.32.2內核在mini2440上的移植(十七)---移植PWM控制蜂鳴器驅動 - singleboy - singleboy的博客

 因此,我們需要在驅動程序中,首先把 GPB0 端口設置爲PWM 功能輸出,再設定相應的Timer 就可以控制PWM 的輸出頻率了。

【2】驅動程序編寫

在 linux-2.6.32.2/drivers/misc目錄下,增加一個驅動程序文件mini2440_pwm.c,內容如下:

#include <linux/module.h>
#include <linux/kernel.h>
#include <linux/fs.h>
#include <linux/init.h>
#include <linux/delay.h>
#include <linux/poll.h>
#include <linux/interrupt.h>
#include <linux/gpio.h>
#include <asm/irq.h>
#include <asm/io.h>
#include <asm/uaccess.h>
#include <mach/regs-gpio.h>
#include <mach/hardware.h>
#include <plat/regs-timer.h>
#include <mach/regs-irq.h>
#include <asm/mach/time.h>
#include <linux/clk.h>
#include <linux/cdev.h>
#include <linux/device.h>
#include <linux/miscdevice.h>

#define DEVICE_NAME "pwm" //設備名
#define PWM_IOCTL_SET_FREQ 1 //定義宏變量,用於後面的ioctl 中的switch case
#define PWM_IOCTL_STOP 0 //定義信號量 lock

static struct semaphore lock;
/* freq: pclk/50/16/65536 ~ pclk/50/16
* if pclk = 50MHz, freq is 1Hz to 62500Hz
* human ear : 20Hz~ 20000Hz
*/

static void PWM_Set_Freq( unsigned long freq ) //設置pwm 的頻率,配置各個寄存器
{
 unsigned long tcon;
 unsigned long tcnt;
 unsigned long tcfg1;
 unsigned long tcfg0;
 struct clk *clk_p;
 unsigned long pclk;
 
//set GPB0 as tout0, pwm output 設置GPB0 爲tout0,pwm 輸出
 s3c2410_gpio_cfgpin(S3C2410_GPB(0), S3C2410_GPB0_TOUT0);
 tcon = __raw_readl(S3C2410_TCON);
//讀取寄存器TCON 到tcon
 tcfg1 = __raw_readl(S3C2410_TCFG1); //讀取寄存器TCFG1 到tcfg1
 tcfg0 = __raw_readl(S3C2410_TCFG0); //讀取寄存器TCFG0 到tcfg0
 //prescaler = 50
 
// S3C2410_TCFG_PRESCALER0_MASK定時器0 和1 的預分頻值的掩碼,TCFG[0~8]
 tcfg0 &= ~S3C2410_TCFG_PRESCALER0_MASK;
 tcfg0 |= (50 - 1);
// 預分頻爲50
 //mux = 1/16
 tcfg1 &= ~S3C2410_TCFG1_MUX0_MASK;
//S3C2410_TCFG1_MUX0_MASK 定時器0 分割值的掩碼TCFG1[0~3]
 tcfg1 |= S3C2410_TCFG1_MUX0_DIV16; //定時器0 進行16 分割
 __raw_writel(tcfg1, S3C2410_TCFG1); //把tcfg1 的值寫到分割寄存器S3C2410_TCFG1 中
 __raw_writel(tcfg0, S3C2410_TCFG0); //把tcfg0 的值寫到預分頻寄存器S3C2410_TCFG0 中
 clk_p = clk_get(NULL, "pclk"); //得到pclk
 pclk = clk_get_rate(clk_p);
 tcnt = (pclk/50/16)/freq;
//得到定時器的輸入時鐘,進而設置PWM 的調製頻率
 __raw_writel(tcnt, S3C2410_TCNTB(0)); //PWM 脈寬調製的頻率等於定時器的輸入時鐘
 __raw_writel(tcnt/2, S3C2410_TCMPB(0)); //佔空比是50%
 tcon &= ~0x1f;
 tcon |= 0xb; //disable deadzone, auto-reload, inv-off, update TCNTB0&TCMPB0, start timer 0
 __raw_writel(tcon, S3C2410_TCON);
//把tcon 寫到計數器控制寄存器S3C2410_TCON 中
 tcon &= ~2; //clear manual update bit
 __raw_writel(tcon, S3C2410_TCON);
}
static void PWM_Stop(void)
{
 s3c2410_gpio_cfgpin(S3C2410_GPB(0), S3C2410_GPIO_OUTPUT);
//設置GPB0 爲輸出
 s3c2410_gpio_setpin(S3C2410_GPB(0), 0); //設置GPB0 爲低電平,使蜂鳴器停止
}
static int s3c24xx_pwm_open(struct inode *inode, struct file *file)
{
 if (!down_trylock(&lock))
//是否獲得信號量,是down_trylock(&lock)=0,否則非0
  return 0;
 else
  return -EBUSY;
//返回錯誤信息:請求的資源不可用
}
static int s3c24xx_pwm_close(struct inode *inode, struct file *file)
{
 PWM_Stop();
  up(&lock);
//釋放信號量lock
 return 0;
}
/*cmd 是1,表示設置頻率;cmd 是2 ,表示停止pwm*/
static int s3c24xx_pwm_ioctl(struct inode *inode, struct file *file, unsigned int cmd, unsigned long arg)
{
 switch (cmd)
 {
  case PWM_IOCTL_SET_FREQ:
//if cmd=1 即進入case PWM_IOCTL_SET_FREQ
  if (arg == 0) //如果設置的頻率參數是0
  return -EINVAL; //返回錯誤信息,表示向參數傳遞了無效的參數
  PWM_Set_Freq(arg); //否則設置頻率
  break;
  case PWM_IOCTL_STOP:
// if cmd=2 即進入case PWM_IOCTL_STOP
  PWM_Stop(); //停止蜂鳴器
  break;
 }
 return 0;
//成功返回
}
/*初始化設備的文件操作的結構體*/
static struct file_operations dev_fops = {
 .owner = THIS_MODULE,
 .open = s3c24xx_pwm_open,
 .release = s3c24xx_pwm_close,
 .ioctl = s3c24xx_pwm_ioctl,
};
static struct miscdevice misc = {
 .minor = MISC_DYNAMIC_MINOR,
 .name = DEVICE_NAME,
 .fops = &dev_fops,
};
static int __init dev_init(void)
{
 int ret;
 init_MUTEX(&lock);
//初始化一個互斥鎖
 ret = misc_register(&misc); //註冊一個misc 設備
 if(ret < 0)
     {
        printk(DEVICE_NAME "register falid!\n");
        return ret;
     }
 printk (DEVICE_NAME "\tinitialized!\n");
 return 0;
}
static void __exit dev_exit(void)
{
 misc_deregister(&misc);
//註銷設備
}
module_init(dev_init);
module_exit(dev_exit);
MODULE_LICENSE("GPL");
MODULE_AUTHOR("FriendlyARM Inc.");
MODULE_DESCRIPTION("S3C2410/S3C2440 PWM Driver");

 以上驅動程序中,一些關鍵詞的解釋和說明如下:
(1) CPU 計數器控制寄存器
1>配置定時器輸入時鐘
TCFG0-時鐘配置寄存器0,用於獲得預分頻值(1~255)
TCFG1-時鐘配置寄存器1,用於獲得分割值(2,4,8,16,32)
定時器輸入時鐘頻率=PLCK/{預分頻+1}/{分割值}
2>配置PWM 的佔空比
TCNTB0-定時器0 計數緩存寄存器,是由定時器的輸入時鐘分頻得到,是脈寬調製的頻率。
TCMTB0-定時器0 比較緩存寄存器,用於設定PWM 的佔空比,寄存器值爲高定平的
假設TCNTB0 的頻率是160,如果TCMTB0 是110,則PWM 在110 個週期是高定平,50 週期是低電平,從而佔空比爲11:5。
3>定時器控制寄存器TCON
TCON[0~4]用於控制定時器0

(2) 讀寫寄存器的函數: __raw_readl __raw_writel
讀端口寄存器用__raw_readl(a ),該函數從端口a 返回一個32 位的值。相關的定義在include/asm-arm/io.h 中。 #define __raw_readl(a) (*(volatile unsigned int*)(a)),寫端口寄存器用__raw_writel(v,a) ,該函數將一個32 位的值寫入端口a 中。相關的定義在include/asm-arm/io.h中。 #define __raw_writel(v,a) (*(volatile unsigned int*)(a) = (v)) 。此處設置功能控制寄存器,將相應的引腳設爲輸出狀態。
(3 )內核中操作gpio
gpio_cfgpin 配置相應GPIO 口的功能
gpio_setpin IO 口爲輸出功能時,寫引腳
(4) 內核中基於信號量的Llinux 的併發控制
在驅動程序中,當多個線程同時訪問相同的資源時,可能會引發“競態”,因此必須對共享資源進行併發控制。信號量(絕大多數作爲互斥鎖使用)是一種進行併發控制的手段(還有自旋鎖,它適合於保持時間非常短的時間)。信號量只能在進程的上下文中使用。
void init_MUTEX(&lock) 初始化一個互斥鎖,即他把信號量lock 設置爲1。
void up (&lock)  釋放信號量,喚醒等待者。
int down_trylock(&lock) 嘗試獲得信號量lock ,如果能夠立刻獲得,就獲得信號量,並返回爲0.否則返回非0.並且它不會導致休眠,可以在中斷上下文中使用。在PWM 中,當計數值溢出時,就會引發計數中斷。所以在這裏用這個函數來獲得信號。

【3】爲內核添加按鍵設備的內核配置選項

打開 linux-2.6.32.2/drivers/misc/Kconfig 文件,定位到39行附近,加入如下紅色部分內容:

config MINI2440_BUZZER
 tristate "Buzzer driver for FriendlyARM Mini2440 development boards"
 depends on MACH_MINI2440
 default y if MACH_MINI2440
 help
  this is buzzer driver for FriendlyARM Mini2440 development boards

config ATMEL_PWM
 tristate "Atmel AT32/AT91 PWM support"
 depends on AVR32 || ARCH_AT91SAM9263 || ARCH_AT91SAM9RL || ARCH_AT91CAP9
 help
   This option enables device driver support for the PWM channels
   on certain Atmel processors.  Pulse Width Modulation is used for
   purposes including software controlled power-efficient backlights
   on LCD displays, motor control, and waveform generation.

【4】把對應的驅動目標文件加入內核

打開linux-2.6.32.2/drivers/misc/Makefile,定位到27行附近,把該驅動程序的目標文件根據配置定義加入,如下紅色部分:

obj-$(CONFIG_C2PORT)  += c2port/
obj-$(CONFIG_MINI2440_BUTTONS) += mini2440_buttons.o
obj-$(CONFIG_LEDS_MINI2440) += mini2440_leds.o
obj-$(CONFIG_MINI2440_ADC) += mini2440_adc.o
obj-$(CONFIG_MINI2440_BUZZER) += mini2440_pwm.o
obj-y    += eeprom/
obj-y    += cb710/

這樣,我們就在內核中加入了PWM 控制蜂鳴器的驅動程序。

【5】確認內核配置

接上面的步驟,在內核源代碼目錄下執行:make menuconfig 重新配置內核,依次選擇進入如下子菜單項:

Device Drivers --->
    [*] Misc devices  ---> 

        <*>   Buzzer driver for FriendlyARM Mini2440 development boards //選項默認是選中的,若沒有選中,則按空格鍵選中它。

退出並保存內核配置。

然後退出保存所選配置, 在命令行執行: make uImage , 將會生成arch/arm/boot/uImage,然後將其複製到/nfsboot目錄下後啓動開發板。可以在看到串口終端中啓動信息:

... ...

brd: module loaded
buttons initialized!
leds    initialized!
adc     initialized!
pwm     initialized!
S3C24XX NAND Driver, (c) 2004 Simtec Electronics

... ...

說明leds設備加載成功。

【6】測試PWM 控制蜂鳴器

爲了測試該驅動程序,我們還需要編寫一個簡單的測試程序,在友善官方提供的光盤中已經提供了該測試程序的源代碼,它位於\linux 示例代碼\examples\pwm目錄中,文件名爲:pwm_test.c。將其複製到主機/root/linux-test/codetest目錄下,下面是其中的代碼:

#include <stdio.h>
#include <termios.h> //POSIX 終端控制定義
#include <unistd.h> //Unix 標準函數定義
#include <stdlib.h>

#define PWM_IOCTL_SET_FREQ  1
#define PWM_IOCTL_STOP   0

#define ESC_KEY  0x1b //定義ESC_KEY 爲ESC 按鍵的鍵值

static int getch(void) //定義函數在終端上獲得輸入,並把輸入的量(int)返回
{
 struct termios oldt,newt; //終端結構體struct termios
 int ch;

 if (!isatty(STDIN_FILENO)) { //判斷串口是否與標準輸入相連
  fprintf(stderr, "this problem should be run at a terminal\n");
  exit(1);
 }
 // save terminal setting
 if(tcgetattr(STDIN_FILENO, &oldt) < 0) { //獲取終端的設置參數
  perror("save the terminal setting");
  exit(1);
 }

 // set terminal as need
 newt = oldt;
 newt.c_lflag &= ~( ICANON | ECHO ); //控制終端編輯功能參數ICANON 表示使用標準輸入模式;參數ECH0 表示顯示輸入字符
 if(tcsetattr(STDIN_FILENO,TCSANOW, &newt) < 0) { //保存新的終端參數
  perror("set terminal");
  exit(1);
 }

 ch = getchar();

 // restore termial setting
 if(tcsetattr(STDIN_FILENO,TCSANOW,&oldt) < 0) { //恢復保存舊的終端參數
  perror("restore the termial setting");
  exit(1);
 }
 return ch;
}

static int fd = -1;
static void close_buzzer(void);
static void open_buzzer(void) //打開蜂鳴器
{
 fd = open("/dev/pwm", 0); //打開pwm 設備驅動文件
 if (fd < 0) {
  perror("open pwm_buzzer device"); //打開錯誤,則終止進程。退出參數爲1
  exit(1);
 }

 // any function exit call will stop the buzzer
 atexit(close_buzzer); //退出回調close_buzzer
}

static void close_buzzer(void) //關閉蜂鳴器
{
 if (fd >= 0) {
  ioctl(fd, PWM_IOCTL_STOP); //停止蜂鳴器
  close(fd); //關閉設備驅動文件
  fd = -1;
 }
}

static void set_buzzer_freq(int freq)
{
 // this IOCTL command is the key to set frequency
 int ret = ioctl(fd, PWM_IOCTL_SET_FREQ, freq); //設置頻率
 if(ret < 0) { //如果輸入的頻率錯誤
  perror("set the frequency of the buzzer");
  exit(1); //退出,返回1
 }
}
static void stop_buzzer(void) //關閉蜂鳴器
{
 int ret = ioctl(fd, PWM_IOCTL_STOP);
 if(ret < 0) {
  perror("stop the buzzer");
  exit(1);
 }
}

int main(int argc, char **argv)
{
 int freq = 1000 ;
 
 open_buzzer(); //打開蜂鳴器

 printf( "\nBUZZER TEST ( PWM Control )\n" );
 printf( "Press +/- to increase/reduce the frequency of the BUZZER\n" ) ;
 printf( "Press 'ESC' key to Exit this program\n\n" );
 
 
 while( 1 )
 {
  int key;

  set_buzzer_freq(freq); //設置蜂鳴器頻率
  printf( "\tFreq = %d\n", freq );

  key = getch();

  switch(key) {
  case '+':
   if( freq < 20000 )
    freq += 10;
   break;

  case '-':
   if( freq > 11 )
    freq -= 10 ;
   break;

  case ESC_KEY:
  case EOF:
   stop_buzzer();
   exit(0);

  default:
   break;
  }
 }
}
在終端中進入到codetest目錄,然後執行:
[root@localhost codetest]# ls
adc_test     backlight_test    buttons_test.c  led.c       tstest.c
adc_test.c   backlight_test.c  i2c             pwm_test.c
adc_test.c~  buttons_test      led             tstest
[root@localhost codetest]# arm-linux-gcc -o pwm_test pwm_test.c
[root@localhost codetest]# cp pwm_test /nfsboot/nfs
[root@localhost codetest]#
將生成的可執行目標文件pwm_test複製到與開發板共享的nfsboot/nfs中,在開發板的命令行終端執行:

[root@mini2440 nfs]#cd /
[root@mini2440 /]#ls -l /dev/pwm
crw-rw----    1 root     root      10,  60 Jan  1 00:00 /dev/pwm
[root@mini2440 /]#
[root@mini2440 /]#cd /mnt/nfs
[root@mini2440 nfs]#ls
adc_test        buttons_test    pwm_test        yesterday.mp3
backlight_test  i2c             test1.wav
bigworld.wav    led
[root@mini2440 /]#cd /mnt/nfs
[root@mini2440 nfs]#./pwm_test

BUZZER TEST ( PWM Control )
Press +/- to increase/reduce the frequency of the BUZZER
Press 'ESC' key to Exit this program

        Freq = 1000
        Freq = 1010
        Freq = 1020
        Freq = 1030
        Freq = 1040
        Freq = 1050
        Freq = 1060
        Freq = 1050
        Freq = 1040
        Freq = 1030
        Freq = 1020
        Freq = 1010
        Freq = 1000

[@mini2440 nfs]#

當按動“+”/“-”鍵時,蜂鳴器的聲音會隨之改變。

接下來,將進行將UART2更成普通串口驅動移植。

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章