製作ZedBoard-linaro-desktop-ubuntu全過程之運行linaro系統

製作ZedBoard-linaro-desktop-ubuntu全過程
作者:Alston若水
1、準備階段
(1)目標板子:ZedBoard REV D
系統信息:(裝完以後的,比官方帶的系統要更新很多東西)
Model: Zynq Zed Development Board
Board: XilinxZynq
Linux version4.9.0 (gcc version 4.9.2 (Sourcery CodeBench Lite 2015.05-17) )
(2)Ubuntu環境:ubuntukylin-17.04-enhanced-amd64(我安裝在了虛擬機下面)
(3)SD卡:大於等於4GB
(4)Linaro文件系統:linaro-precise-ubuntu-desktop-20121124-560.tar.gz 或者在linaro官網:http://releases.linaro.org/archive/
這裏寫圖片描述
(5)鏡像文件:BOOT.BIN、uImage和devicetree下載地址:
http://download.csdn.net/download/su3752/10035734#comment
(6)HDMI接口的顯示屏。
2、製作階段
(1) 啓動虛擬機下的Ubuntu系統,將準備好的SD卡(大於等於4GB)插入電腦,虛擬機下加載SD卡:
這裏寫圖片描述
(2) 對SD卡分區:
在搜索中輸入:disks
這裏寫圖片描述
選擇你的SD卡:
這裏寫圖片描述
點擊上圖+號:可以設置分區大小,要分爲兩個區,一個FAT(至少100兆以上,如果sd卡空間足夠可以大一點),一個Ext4區(至少3.8GB以上,分完fat區以後,剩下的都分給他就行)
這裏寫圖片描述
分完區後如下圖:我的是8GB SD卡,FAT分了2G,剩下的都給EXT分區了。

這裏寫圖片描述
到此SD卡分區完成。
(3) 製作文件系統
將下載好的linaro-precise-ubuntu-desktop-20121124-560.tar.gz放到Ubuntu系統下。打開terminal(快捷鍵ctrl+alt+T)取得管理員權限:sudo su 然後輸入密碼。進入你放文件系統的文件夾下如下圖:
這裏寫圖片描述
然後輸入下面命令:
sudo tar –strip-components=3 -C /media/根據自己的SD卡EXT路徑更改/EXT -xzpf linaro-precise-ubuntu-desktop-20121124-560.tar.gz binary/boot/filesystem.dir
這裏寫圖片描述
進入複製文件系統,這個進程需要幾分分鐘,耐心等待一下。
複製完成後,命令行重新出現。
這裏寫圖片描述
(4)將鏡像文件:BOOT.BIN、 uImage和devicetree複製到SD卡的FAT分區:
這裏寫圖片描述

3、啓動階段
給板子供電,設置爲SD卡啓動模式,將串口軟件打開,將HDMI接口屏幕接上,打開電源後:
串口返回信息如下:
U-Boot2016.07 (Oct 22 2017 - 21:30:47 +0800)

Model: ZynqZed Development Board
Board:Xilinx Zynq
DRAM: ECC disabled 512 MiB
MMC: sdhci@e0100000: 0
SF: DetectedS25FL256S_64K with page size 256 Bytes, erase size 64 KiB, total 32 MiB
* Warning- bad CRC, using default environment

In: serial@e0001000
Out: serial@e0001000
Err: serial@e0001000
Model: ZynqZed Development Board
Board:Xilinx Zynq
Net: ZYNQ GEM: e000b000, phyaddr 0, interfacergmii-id
eth0:ethernet@e000b000
Hit any keyto stop autoboot: 0
Device:sdhci@e0100000
ManufacturerID: 13
OEM: 4b47
Name: SD08G
Tran Speed:50000000
Rd BlockLen: 512
SD version2.0
HighCapacity: Yes
Capacity:7.6 GiB
Bus Width:4-bit
Erase GroupSize: 512 Bytes
readinguEnv.txt
* Unable toread file uEnv.txt *
CopyingLinux from SD to RAM…
readinguImage
4080360bytes read in 365 ms (10.7 MiB/s)
readingdevicetree.dtb
13393 bytesread in 23 ms (568.4 KiB/s)

Bootingkernel from Legacy Image at 02080000 …

Image Name: Linux-4.9.0
Image Type: ARM Linux Kernel Image (uncompressed)
Data Size: 4080296 Bytes = 3.9 MiB
Load Address: 00008000
Entry Point: 00008000
Verifying Checksum … OK

FlattenedDevice Tree blob at 02000000

Booting using the fdt blob at 0x2000000
Loading Kernel Image … OK
Loading Device Tree to 1eb0d000, end1eb13450 … OK

Startingkernel …

UncompressingLinux… done, booting the kernel.
BootingLinux on physical CPU 0x0
Linuxversion 4.9.0 (root@suyang-virtual-machine) (gcc version 4.9.2 (SourceryCodeBench Lite 2015.05-17) ) #1 SMP PREEMPT Sun Oct 22 22:05:58 CST 2017
CPU: ARMv7Processor [413fc090] revision 0 (ARMv7), cr=18c5387d
CPU: PIPT /VIPT nonaliasing data cache, VIPT aliasing instruction cache
OF:fdt:Machine model: Xilinx Zynq ZED
bootconsole[earlycon0] enabled
cma:Reserved 128 MiB at 0x16800000
Memorypolicy: Data cache writealloc
percpu:Embedded 13 pages/cpu @dfb91000 s23744 r8192 d21312 u53248
Built 1zonelists in Zone order, mobility grouping on. Total pages: 130048
Kernelcommand line: console=ttyPS0,115200 root=/dev/mmcblk0p2 rw earlyprintkrootfstype=ext4 rootwait
PID hashtable entries: 2048 (order: 1, 8192 bytes)
Dentry cachehash table entries: 65536 (order: 6, 262144 bytes)
Inode-cachehash table entries: 32768 (order: 5, 131072 bytes)
Memory:379732K/524288K available (5553K kernel code, 236K rwdata, 2120K rodata, 264Kinit, 154K bss, 13484K reserved, 131072K cma-reserved, 0K highmem)
Virtualkernel memory layout:
vector : 0xffff0000 - 0xffff1000 ( 4 kB)
fixmap : 0xffc00000 - 0xfff00000 (3072kB)
vmalloc : 0xe0800000 - 0xff800000 ( 496 MB)
lowmem : 0xc0000000 - 0xe0000000 ( 512MB)
pkmap : 0xbfe00000 - 0xc0000000 ( 2 MB)
modules : 0xbf000000 - 0xbfe00000 ( 14MB)
.text : 0xc0008000 - 0xc0574844 (5555 kB)
.init : 0xc07b4000 - 0xc07f6000 ( 264 kB)
.data : 0xc07f6000 - 0xc0831000 ( 236 kB)
.bss : 0xc0831000 - 0xc0857a34 ( 155 kB)
Preemptiblehierarchical RCU implementation.
Build-time adjustment of leaf fanout to32.
RCU restricting CPUs from NR_CPUS=4 tonr_cpu_ids=2.
RCU:Adjusting geometry for rcu_fanout_leaf=32, nr_cpu_ids=2
NR_IRQS:16nr_irqs:16 16
efuse mappedto e0800000
slcr mappedto e0802000
L2C:platform modifies aux control register: 0x72360000 -> 0x72760000
L2C:DT/platform modifies aux control register: 0x72360000 -> 0x72760000
L2C-310erratum 769419 enabled
L2C-310enabling early BRESP for Cortex-A9
L2C-310 fullline of zeros enabled for Cortex-A9
L2C-310 IDprefetch enabled, offset 1 lines
L2C-310dynamic clock gating enabled, standby mode enabled
L2C-310cache controller enabled, 8 ways, 512 kB
L2C-310:CACHE_ID 0x410000c8, AUX_CTRL 0x76760001
zynq_clock_init:clkc starts at e0802100
Zynq clockinit
sched_clock:64 bits at 333MHz, resolution 3ns, wraps every 4398046511103ns
clocksource:arm_global_timer: mask: 0xffffffffffffffff max_cycles: 0x4ce07af025,max_idle_ns: 440795209040 ns
Switching totimer-based delay loop, resolution 3ns
clocksource:ttc_clocksource: mask: 0xffff max_cycles: 0xffff, max_idle_ns: 537538477 ns
timer #0 ate080a000, irq=17
Console:colour dummy device 80x30
Calibratingdelay loop (skipped), value calculated using timer frequency.. 666.66 BogoMIPS(lpj=3333333)
pid_max:default: 32768 minimum: 301
Mount-cachehash table entries: 1024 (order: 0, 4096 bytes)
Mountpoint-cachehash table entries: 1024 (order: 0, 4096 bytes)
CPU: Testingwrite buffer coherency: ok
CPU0: thread-1, cpu 0, socket 0, mpidr 80000000
Setting upstatic identity map for 0x82c0 - 0x8318
CPU1: thread-1, cpu 1, socket 0, mpidr 80000001
Brought up 2CPUs
SMP: Totalof 2 processors activated (1333.33 BogoMIPS).
CPU: AllCPU(s) started in SVC mode.
devtmpfs:initialized
VFP supportv0.3: implementor 41 architecture 3 part 30 variant 9 rev 4
clocksource:jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns:19112604462750000 ns
pinctrlcore: initialized pinctrl subsystem
NET:Registered protocol family 16
DMA:preallocated 256 KiB pool for atomic coherent allocations
cpuidle:using governor ladder
hw-breakpoint:found 5 (+1 reserved) breakpoint and 1 watchpoint registers.
hw-breakpoint:maximum watchpoint size is 4 bytes.
zynq-ocmf800c000.ocmc: ZYNQ OCM pool: 256 KiB @ 0xe0840000
zynq-pinctrl700.pinctrl: zynq pinctrl initialized
SCSIsubsystem initialized
usbcore:registered new interface driver usbfs
usbcore:registered new interface driver hub
usbcore:registered new device driver usb
media: Linuxmedia interface: v0.10
Linux videocapture interface: v2.00
pps_core: LinuxPPSAPI ver. 1 registered
pps_core:Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti[email protected]
PTP clocksupport registered
EDAC MC:Ver: 3.0.0
AdvancedLinux Sound Architecture Driver Initialized.
clocksource:Switched to clocksource arm_global_timer
NET:Registered protocol family 2
TCPestablished hash table entries: 4096 (order: 2, 16384 bytes)
TCP bindhash table entries: 4096 (order: 3, 32768 bytes)
TCP: Hashtables configured (established 4096 bind 4096)
UDP hashtable entries: 256 (order: 1, 8192 bytes)
UDP-Litehash table entries: 256 (order: 1, 8192 bytes)
NET:Registered protocol family 1
hwperfevents: enabled with armv7_cortex_a9 PMU driver, 7 counters available
futex hashtable entries: 512 (order: 3, 32768 bytes)
workingset:timestamp_bits=30 max_order=17 bucket_order=0
io schedulernoop registered
io schedulerdeadline registered
io schedulercfq registered (default)
dma-pl330f8003000.dmac: Loaded driver for PL330 DMAC-241330
dma-pl330f8003000.dmac: DBUFF-128x8bytesNum_Chans-8 Num_Peri-4 Num_Events-16
xilinx-vdma43000000.axivdma: missing xlnx,flush-fsync property
xilinx-vdma43000000.axivdma: missing xlnx,addrwidth property
xilinx-vdma43000000.axivdma: Xilinx AXI VDMA Engine Driver Probed!!
e0001000.serial:ttyPS0 at MMIO 0xe0001000 (irq = 25, base_baud = 3125000) is a xuartps
▒console[ttyPS0] enabled
console[ttyPS0] enabled
bootconsole[earlycon0] disabled
bootconsole[earlycon0] disabled
xdevcfgf8007000.devcfg: ioremap 0xf8007000 to e081e000
[drm] Initialized
brd: moduleloaded
loop: moduleloaded
m25p80spi32766.0: found s25fl256s1, expected n25q128a11
m25p80spi32766.0: s25fl256s1 (32768 Kbytes)
5 ofpartpartitions found on MTD device spi32766.0
Creating 5MTD partitions on “spi32766.0”:
0x000000000000-0x000000500000: “boot”
0x000000500000-0x000000520000: “bootenv”
0x000000520000-0x000000540000: “config”
0x000000540000-0x000000fc0000: “image”
0x000000fc0000-0x000002000000: “spare”
MACsec IEEE802.1AE
libphy:Fixed MDIO Bus: probed
libphy:mdio_driver_register: xgmiitorgmii
tun:Universal TUN/TAP device driver, 1.6
tun: (C)1999-2004 Max Krasnyansky [email protected]
libphy:MACB_mii_bus: probed
macbe000b000.ethernet eth0: Cadence GEM rev 0x00020118 at 0xe000b000 irq 27(00:0a:35:00:01:22)
Marvell 88E1510e000b000.etherne:00: attached PHY driver [Marvell 88E1510](mii_bus:phy_addr=e000b000.etherne:00, irq=-1)
ehci_hcd:USB 2.0 ‘Enhanced’ Host Controller (EHCI) Driver
usbcore:registered new interface driver usb-storage
usbcore:registered new interface driver usbserial
usbcore:registered new interface driver usbserial_generic
usbserial:USB Serial support registered for generic
usbcore:registered new interface driver ftdi_sio
usbserial:USB Serial support registered for FTDI USB Serial Device
e0002000.usbsupply vbus not found, using dummy regulator
ULPItransceiver vendor/product ID 0x0451/0x1507
Found TITUSB1210 ULPI transceiver.
ULPIintegrity check: passed.
ci_hdrcci_hdrc.0: EHCI Host Controller
ci_hdrcci_hdrc.0: new USB bus registered, assigned bus number 1
ci_hdrcci_hdrc.0: USB 2.0 started, EHCI 1.00
hub 1-0:1.0:USB hub found
hub 1-0:1.0:1 port detected
mousedev:PS/2 mouse device common for all mice
i2c /deventries driver
usbcore:registered new interface driver uvcvideo
USB VideoClass driver (1.1.1)
gspca_main:v2.14.0 registered
cdns-wdtf8005000.watchdog: Xilinx Watchdog Timer at e088a000 with timeout 10s
Xilinx ZynqCpuIdle Driver started
sdhci:Secure Digital Host Controller Interface driver
sdhci:Copyright(c) Pierre Ossman
sdhci-pltfm:SDHCI platform and OF driver helper
mmc0: SDHCIcontroller on e0100000.sdhci [e0100000.sdhci] using DMA
ledtrig-cpu:registered to indicate activity on CPUs
hidraw: rawHID events driver (C) Jiri Kosina
usbcore:registered new interface driver usbhid
usbhid: USBHID core driver
NET:Registered protocol family 17
RegisteringSWP/SWPB emulation handler
mmc0: newhigh speed SDHC card at address 0002
mmcblk0:mmc0:0002 SD08G 7.56 GiB
mmcblk0: p1 p2
Console:switching to colour frame buffer device 128x37
axi-hdmi70e00000.axi_hdmi: fb0: frame bufferdevice
usb 1-1: newhigh-speed USB device number 2 using ci_hdrc
[drm]Initialized axi_hdmi_drm 1.0.0 20120930 on minor 0
asoc-simple-cardadv7511_hdmi_snd: hdmi-hifi.0 <-> 75c00000.axi-spdif-tx mapping ok
asoc-simple-cardzed_sound: adau-hifi <-> 77600000.axi-i2s mapping ok
hctosys:unable to open rtc device (rtc0)
ALSA devicelist:
#0: HDMI monitor
#1: ZED ADAU1761
random: fastinit done
hub 1-1:1.0:USB hub found
hub 1-1:1.0:4 ports detected
usb 1-1.1:new low-speed USB device number 3 using ci_hdrc
input: USBOPTICAL MOUSE as/devices/soc0/amba/e0002000.usb/ci_hdrc.0/usb1/1-1/1-1.1/1-1.1:1.0/0003:2188:0AE1.0001/input/input0
hid-generic0003:2188:0AE1.0001: input,hidraw0: USB HID v1.11 Mouse [USB OPTICAL MOUSE ] onusb-ci_hdrc.0-1.1/input0
usb 1-1.3:new low-speed USB device number 4 using ci_hdrc
input: SEMUSB Keyboard as/devices/soc0/amba/e0002000.usb/ci_hdrc.0/usb1/1-1/1-1.3/1-1.3:1.0/0003:1A2C:0E24.0002/input/input1
hid-generic0003:1A2C:0E24.0002: input,hidraw1: USB HID v1.10 Keyboard [SEM USB Keyboard]on usb-ci_hdrc.0-1.3/input0
input: SEMUSB Keyboard as/devices/soc0/amba/e0002000.usb/ci_hdrc.0/usb1/1-1/1-1.3/1-1.3:1.1/0003:1A2C:0E24.0003/input/input2
hid-generic0003:1A2C:0E24.0003: input,hidraw2: USB HID v1.10 Device [SEM USB Keyboard] onusb-ci_hdrc.0-1.3/input1
EXT4-fs(mmcblk0p2): recovery complete
EXT4-fs(mmcblk0p2): mounted filesystem with ordered data mode. Opts: (null)
VFS: Mountedroot (ext4 filesystem) on device 179:2.
devtmpfs:mounted
Freeingunused kernel memory: 264K (c07b4000 - c07f6000)
Thisarchitecture does not have kernel memory protection.
init:hwclock main process (891) terminated with status 1
init:ureadahead main process (892) terminated with status 5

cat:/var/lib/update-notifier/fsck-at-reboot: No such file or directory
run-parts:/etc/update-motd.d/98-fsck-at-reboot exited with return code 1
Welcome toLinaro 12.09 (GNU/Linux 4.9.0 armv7l)

0 packagescan be updated.
0 updatesare security updates.

0 packagescan be updated.
0 updatesare security updates.

The programsincluded with the Linaro system are free software;
the exactdistribution terms for each program are described in the
individualfiles in /usr/share/doc/*/copyright.

Linaro comeswith ABSOLUTELY NO WARRANTY, to the extent permitted by
applicablelaw.

root@linaro-ubuntu-desktop:~#
桌面的啓動如下圖:到此啓動完成!
這裏寫圖片描述

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章