vivado報錯

[Synth 8-6014] Unused sequential element cnt_reg was removed.
綜合的時候, 上面報錯,說的是 cnt這個寄存器,被綜合去掉了。 仔細看了一下代碼,原來這個寄存器是多餘的,他是一個孤島,模塊中,其他的代碼,並沒有用到這個cnt寄存器。這個時候,可以看下NetLis裏面確實也是沒有這個寄存器的。

如果非要這個寄存器,在定義reg [19:0] cnt的時候, 用下面的方式定義,則綜合後不會報錯。
( KEEP = "TRUE" ) reg [19:0] cnt;

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章