原创 拋磚引玉----基於51串口通訊編程軟件架構剖析

前言:串口通訊對於所有的嵌入式工程師十分常見,對於一個與外界交互的系統必須依賴一些手段,比如串口、USB、紅外、GPRS之類的數據通訊傳輸方式。而串口作爲一種廉價的短距離可靠的通訊方式得到了廣泛應用。廢話少說了,就此打住,進入正題。本文主

原创 VB中如何將兩個單字節合成一個雙字節,例如我輸入兩個byte類型變量

Private Sub Form_Load() Dim DisNumber as Integer Dim a As Byte Dim b As Byte a=&H80 b=&H0 DisNumber = (a * 256 + b) '將

原创 轉載:開發工程師人生之路(強烈推薦,分析的透徹!)

相對同時剛出校門同學從事其它行業而言優厚的薪水,以及不斷學習更新的專業知識不僅僅讓你感到生活的充實,更滿足了你那不讓外人知的虛榮心。在剛出校門的幾年中,你經常回頭看看被你落在後面的同學們,在內心憐憫他們的同時,你也會對自已天天加班的努力工

原创 像斧頭幫一樣創業 四點創業必須知識

我有一個很棒的想法,但不知道怎麼去找合適的合夥人,怎麼辦?  這兩個問題看似不相干,但其實是一個問題:和誰在一起。都說創業者是孤獨的,但孤獨不應該等同於孤立。李白說“古來聖賢皆寂寞,唯有飲者留其名”,但我看今時今日,且不說有無聖賢,即便有

原创 我的HIFI WAV 播放器設計之三電路圖DAC及模擬信號處理電路部分

DAC及LPF模擬輸出部分電路SCH圖紙二,DAC採用了Analog Device的AD1853DAC芯片,圖紙由AD1853核心電路、供電部分、I/V變換部分、平衡LPF部分、平衡/非平衡轉換電路部分,模擬信號處理部分電路採用了新的架

原创 如何讓說話的聲音悅耳動聽

看了這麼多,實際上說話做事,要心平氣和,不能急。氣順了再開口。謹記。對自己說。[NGB02]附:介紹幾種符合同學們特點,簡單、易行、見效的口才訓練方法。(1)速讀法這裏的“讀”指的是朗讀,是用嘴去讀,而不是用眼去看,顧名思義,“速讀”也就

原创 終於解決了MDK 3.80a中不能使用printf()函數的問題

剛開始學stm32,順着gpio、uart。。。的順序慢慢爬 初始化的方法學習了馬老師的STM32_Init.h大法,自己英文還可以,加上avr的基礎還不錯,所以gpio和時鐘配置都很順利 碰到uart就頭大了,看到各種例程裏都是prin

原创 ByVal

ByVal 在Visual Basic中,按值傳遞方式通過關鍵字 ByVal (By Value)來實現。也就是說,在定義通用過程時,如果形參前面有關鍵字ByVal,則該參數用傳值方式傳送,否則即以引用(即按地址)方式傳遞。 例如

原创 給準研究生和在讀研究生的一封信

2012年考研國家分數線已經全新出爐,相信很多準研究生們也對未來的研究生生活充滿了無限期待和憧憬,下面就讓我們伴隨着這篇文章,呷一口清茶,仔細閱讀吧。。。當你上課感覺就像打醬油時,當你對研究生很迷茫時,當你坐在電腦前孜孜不倦時,請看下面的

原创 VB之Collection---Collection集合類

Visual Basic 集合對象()集合是方法將一系列相關的項構成組的一種方法。Visual Basic 中集合可用於跟蹤很多事情,例如程序中加載的窗體(窗體集合),或者在窗體中的所有控件(控件集合)。Visual Basic 提供的類

原创 軟件濾波

模擬信號都必須經過A/D轉換才能被嵌入式控制器接收。但在干擾作用於模擬信號之後,其A/D轉換結果往往會偏離真實值。因此,僅採樣一次是無法確定該結果是否可信的,而必須多次採樣,才能得到一個A/D轉換的數據系列,然後通過某種處理,才能得到一個

原创 關於汽車隔音和音響改裝,難聽,但確是實話

關於汽車隔音和音響改裝,難聽,但確是實話。     先說說本人的簡史。大學時期主修舞臺音響設計(成績很差),輔修古典吉他(專業八級),碩士期間主修聲學……蠻冷門的專業。現在的主業是人民教師,兼職調音師、吉他手、獸醫(老媽家的祖傳手藝,有

原创 各種ADC的比較

A/D轉換技術 現在的軟件無線電、數字圖像採集都需要有高速的A/D採樣保證有效性和精度,一般的測控系統也希望在精度上有所突破,人類數字化的浪潮推動了A/D轉換器不斷變革,而A/D轉換器是人類實現數字化的先鋒。A/D轉換器發展了30多年,經

原创 軟件實現的施密特觸發器

        在一些智能充電器中,單片機要一直監測電池的電壓,一旦超過某一數值,就由恆流充電切換到恆壓充電。撇開充電器製作相關的各種知識和概念不說我們集中注意力來考察:當電壓接近那個設定的臨界值時發生的一些事情。        

原创 Modelsim 創建 VCD

    Verilog提供一系列系統任務用於記錄信號值變化保存到標準的VCD(Value Change Dump)格式數據庫中。大多數波形顯示工具支持VCD格式。 $dumpfile("file. dump"); 打開一個VCD數據庫