使用UltraEdit25.20.0.88進行Verilog語言編輯配置方式(詳細)

UltraEdit版本爲25.20.0.88,其他版本也適用。

1:下載Verilog的語法高亮文件。
即可支持相應的語言編輯,關鍵字將用不同色彩標出。
可以到官方網站去下載,包括上百種語法文件,我想應該都能滿足大家的需要吧!
https://www.ultraedit.com/downloads/extras/wordfiles.html
也可以從下方鏈接中下載,已被設置過,可跳過2,3,4步驟:https://download.csdn.net/download/qq_33231534/12243453

2:使用UltraEdit打開下載的文件,將第一行的L20改爲L14,因爲UltraEdit只支持20種語法。

3:加入摺疊功能
由於Verilog HDL的塊一般是以begin和end作爲開始和結束的標記,其作用相當於C語言的
大括號。在verilog2001.uew中添加進如下代碼即可:

/Open Fold Strings = "begin""case"
/Close Fold Strings = "end""endcase"


放置在  /C1"Keywords" 上方即可。
這裏除了begin和end可以進行摺疊外,另外一對case和endcase同樣可以完成摺疊,同樣的
方法可以添加你需要的摺疊標誌。

4:加入自動縮進功能
同樣在wordfile.txt中,有控制自動縮進的語法。所謂自動縮進就是指,輸入begin回車,下一行自動加一個tab;輸入end,下一行自動退回一個tab。用戶可以自己添加不同的縮進詞實現自動縮進。如:

/Indent Strings = "begin""case""fork" "if" "else"
/Unindent Strings = "end""endcase""join" "else"


放置在  /C1"Keywords" 上方即可。

5: 用UltraEdit打開文件,第一行  = (* Block Comment Off Alt = *)   文本刪除,否則寫Verilog語法的組合邏輯always@(*)後邊內容將會被註釋。

6:  還可以加入其它一些自定義功能,如函數調用功能,大家可以自己摸索。

7:  將下載的文件verilog2001.uew放置在軟件目錄下wordfiles下,重啓軟件打開就OK了。
wordfiles目錄查找方法:
     (1)打開UltraEdit->高級->設置


         (2)右側導航欄->編輯器顯示->語法高亮->文檔的完整目錄名稱

 

 

 

 

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章