quartus II工具In-System Memory Content Editor使用方法

                                                           quartus II工具In-System Memory Content Editor使用方法

很多情況我們在做一個項目時,會在FPGA中內嵌一些RAM或ROM,當然一般我們都會使用quartus自帶的IP生成工具來完成這樣memory的生成;使用quartus自帶工具生成的memory IP,quartus有一個非常好用的工具來在線讀寫這個memory——In-System Memory Content  Edit;

    要使用這個功能,需要在生成這個mem IP時(ROM或者RAM都可以),勾選一個選項,如下圖:

        允許使用“In-System Memory Content  Edit”更新內容,並設置一個RAM的ID,這個ID是在“In-System Memory Content  Edit”中區分不同的RAM時使用的。

       RAM/ROM在做了上述的設置後,將工程加載進FPGA,連接上usbblaster,打開“In-System Memory Content  Edit”,就可以對RAM/ROM裏的數據進行讀寫了,真心非常實用的一個工具。

       如果各位童鞋使用了synplify pro/premier工具綜合了同樣的工程後,再將上述配置文件加載進FPGA,可能會發現這個功能沒了,是的,的確沒了,但是有沒有辦法補救呢,因爲synplify的綜合速度簡直是忒快了,大工程忍不住的要用他來綜合,辦法還真有,使用“/* synthesis syn_black_box */“這個綜合命令對這個RAM模塊做一些設置:

例如下,例化的一個ram:
module ram(

 

)/* synthesis syn_black_box */;

 

endmodule

這樣,將生成的IP例化成一個黑盒子,在使用quartus進行實現的時候,將synplify生成的網標和這個IP核的.v文件同時加進quartus的工程進行綜合,生成的配置文件就可以再次使用這個工具了。

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章