【Verilog_13】: RTL ←→Verilog

RTL ←→Verilog

module rtlxx	

(			//分頻器,週期爲div_param
	input clk,
	input reset_n,
	input a,
	input b,
	input c,
	output reg y,
	inout reg x
);

reg t,k;

	
	always @(posedge clk or negedge reset_n)
		if(!reset_n)
			y <= 0;
		else  begin
			t <= a ~^ k;
			k <= ~(k & b);
			y <= x | (t ^ k); 
			
		end
		
		always @*
			if(c) x = k;
			else x = 1'bz;
		
	endmodule

對應的rtl圖爲
在這裏插入圖片描述

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章