verilog一些語法

1、邏輯移位與算術移位

右移位數不變,左移擴充位數

邏輯移位:>>,<<,不考慮符號

reg  [7:0]  a ,b;

a=8'b1100_1101;

b= (a >>1);

b :8'b0110_0110

算術移位:>>>,<<<,考慮符號位

reg signed  [7:0]  a ,b;

a=8'b1100_1101;

b= (a >>1);

b :8'b1110_0110

 

2、縮減運算符

&、~&、|、~|、^、~^(^~)

 

3、等於與全等於

==、!= :邏輯等式運算符。其結果由 兩個操作數的值決定。由於操作數中某些位可能是不定值x和高阻值z,結果可能爲不定值x

===、!==:對操作數進行比較時對某些位的不定值x和高阻值z也進行比較,兩個操作數必需完全一致,其結果纔是1,否則爲0 

4、高阻

12'dz               //位寬爲12的10進制數,其值爲高阻值(形式一)

12'd?               //位寬爲12的10進制數,其值爲高阻值(形式二)

5、負數

在位寬前面加一個減號,減號必須在數字定義表達式的最前面

-8'd5               //代表5的補數(採用8位二進制表示)

 

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章