啥? 一行代碼不敲就構建三線制SPI驅動?

[導讀] 最近使用ZYNQ做一個高速數據採集,需要訪問一個ADI的高速模數採樣芯片,該芯片是利用三線制實現讀以及寫的功能。三線制實現寫通信或許大家都經常會這樣用,三線制實現讀/寫或許有的朋友就未曾這樣用過。今天就分享一下利用現成IP不寫任何代碼怎麼實現三線制SPI。

背景

ADI很多芯片都採用三線制SPI進行控制,以AD9467爲例,AD9467是一款 pipeline架構16位高速ADC芯片,採樣率高達250MSPS。在一些複雜系統中其應用領域比較廣泛:

  • 多載波,多模式蜂窩接收機

  • 天線陣列定位

  • 功率放大器線性化

  • 無線寬帶通信系統

  • 雷達系統

  • 紅外成像系統

  • 通訊儀表系統等

從芯片框圖,大致可以看出,該芯片主要由以下部分組成:

  • 三線制SPI通信接口,實現芯片的寄存器讀寫控制。主要用於芯片模式配置。

  • LVDS接口:則負責數據的對外傳輸,遵循ANSI-644 標準。

  • CLK+/CLK-:爲輸入時鐘,時鐘之於數字芯片相當於心臟之於人,一切的動作都是由時鐘驅動的。

  • VIN+/VIN- :差分輸入,模擬信號輸入通道。

對於芯片的其他部分,不是本文介紹的重點,這裏來看看其SPI的通信時序圖:

結合SPI模式時序圖:

  • 在上升沿採樣

  • 下一位數據在CLK低期間變換

故,CPOL=0,CPHA=0.

另外,第一個bit用於標識本次報文你發起的是讀還是寫操作,這種設計是不是有點類似I2C標準中的讀寫位?

柳暗花明

那麼問題來了,我們需要做的SPI通信需要實現三線制SPI進行讀以及寫:

  • 如果用單片機編程IO口去翻比較容易,但是要實現高速AD數據傳輸,常規的單片機就捉襟見肘了。LVDS接口的數據吞吐率很難做到。

  • 如果使用ZYNQ內置的SPI外設也很容易,該外設很容易配成三線制模式。很不幸,外設引腳基本用掉了。不過可以考慮用EMIO把相應的腳從PL端拉出去。

  • 如果利用ZYNQ PS端的GPIO也可以做到,也很不幸,做的板子PS端GPIO所剩無幾。

  • 利用賽靈思的AXI Quad SPI  IP在PL端去實現。折騰一段時間,發現這個IP貌似不支持三線制SPI。

  • 自己用verilog HDL寫個IP掛在AXI總線上,實現Linux設備驅動,這個方案可以。可惜,比較懶,不想重新造輪子!

  • .......

經過一番折騰,在ADI官方發現了一個寶藏:

https://wiki.analog.com/resources/fpga/peripherals/spi_engine

官方實現了SPI engine IP 框架:

  • 執行模塊 Execution Module:主模塊,用於處理SPI引擎命令流並實現SPI總線接口邏輯

  • AXI接口模塊:內存映射軟件可訪問SPI引擎命令流和/或卸載核心的接口

  • Offload模塊:存儲SPI引擎命令流,由外部事件觸發執行

  • 互連Interconnect 模塊:將多個SPI Engine命令流連接到SPI Engine執行模塊

其verilog HDL代碼庫位於:

https://github.com/analogdevicesinc/hdl.git

PS/PL設計

下好hdl庫,按照嚮導將庫make,執行對應的tcl腳本,生成了hdl庫相應所需文件。然後按照需要設計以下block設計:

  • 將PS端的DDR以及PL所需的時鐘FCLK_CLK0配置好,這裏輸出100MHz

  • 從ip庫里拉出來axi_spi_engine_v1_0以及spi_engine_execution_v1_0,按照上面圖連好線

  • 連好AXI接口,以及相應的復位、時鐘信號等

  • 設置需要幾個片選信號,可根據需要幾個從芯片可以設置多個片選信號,比如我設置2個,這樣在linux設備樹上就對應掛載兩個設備。

然後在頂層設計文件進行例化,這裏問題來了,spi_1還是4個腳,如果就這樣拉出到PL端的引腳上,還是四線制,那麼該怎麼改呢?

看看wiki中圖以及描述,發現需要還需要在轉一下:

  • 如果是三線模式時,three_wire會變成1,這個通過AXI總線命令傳過來。

  • sdo_t則可以控制sdo內部信號是否輸出,如果門控關斷則mosi腳變成高阻,可以採樣外部信號,從而傳入可以通過2路選擇器傳入sdi轉而爲讀信號。

從而添加如下代碼在頂層文件:

assign phy_sclk = spi_sclk;
assign phy_cs = spi_cs;
assign phy_mosi = spi_sdo_t ? 1'bz : spi_sdo;
assign spi_sdi = spi_three_wire ? phy_mosi : phy_miso;

比如,我是這樣寫的:

`timescale 1ns / 100ps
//頂層設計文件
module system_top (
//DDR信號
inout   [14:0]  ddr_addr,
inout   [ 2:0]  ddr_ba,
inout           ddr_cas_n,
inout           ddr_ck_n,
inout           ddr_ck_p,
inout           ddr_cke,
inout           ddr_cs_n,
inout   [ 3:0]  ddr_dm,
inout   [31:0]  ddr_dq,
inout   [ 3:0]  ddr_dqs_n,
inout   [ 3:0]  ddr_dqs_p,
inout           ddr_odt,
inout           ddr_ras_n,
inout           ddr_reset_n,
inout           ddr_we_n,
//必須的一些PS信號
inout           fixed_io_ddr_vrn,
inout           fixed_io_ddr_vrp,
//54個PS MIO引腳
inout   [53:0]  fixed_io_mio,
//PS時鐘引腳
inout           fixed_io_ps_clk,
//PS上電覆位信號
inout           fixed_io_ps_porb,
//PS SRSTB信號
inout           fixed_io_ps_srstb,
output [1:0]       spi_0_cs,
output             spi_0_sclk,
input              spi_0_sdi,
output             spi_0_sdo,
);
  
wire ip_spi_0_cs;
wire ip_spi_0_sclk;
wire ip_spi_0_sdi;
wire ip_spi_0_sdo;
wire ip_spi_0_three_wire;   
wire ip_spi_0_sdo_t;

assign spi_0_cs = ip_spi_0_cs;
assign spi_0_sclk = ip_spi_0_sclk;
//如此處理,這樣引出的SPI可以兼容3線制以及4線制SPI
assign spi_0_sdo = ip_spi_0_sdo_t ? 1'bz : ip_spi_0_sdo;
assign ip_spi_0_sdi = ip_spi_0_three_wire ? spi_0_sdo : spi_0_sdi;

//例化block設計
ip_block_wrapper i_system_wrapper (
    //DDR以及常規MIO、時鐘、復位等信號
    .DDR_addr(ddr_addr),
    .DDR_ba(ddr_ba),
    .DDR_cas_n(ddr_cas_n),
    .DDR_ck_n(ddr_ck_n),
    .DDR_ck_p(ddr_ck_p),
    .DDR_cke(ddr_cke),
    .DDR_cs_n(ddr_cs_n),
    .DDR_dm(ddr_dm),
    .DDR_dq(ddr_dq),
    .DDR_dqs_n(ddr_dqs_n),
    .DDR_dqs_p(ddr_dqs_p),
    .DDR_odt(ddr_odt),
    .DDR_ras_n(ddr_ras_n),
    .DDR_reset_n(ddr_reset_n),
    .DDR_we_n(ddr_we_n),
    .FIXED_IO_ddr_vrn(fixed_io_ddr_vrn),
    .FIXED_IO_ddr_vrp(fixed_io_ddr_vrp),
    .FIXED_IO_mio(fixed_io_mio),
    .FIXED_IO_ps_clk(fixed_io_ps_clk),
    .FIXED_IO_ps_porb(fixed_io_ps_porb),
    .FIXED_IO_ps_srstb(fixed_io_ps_srstb),       

      //連至頂層
    .spi_0_cs(ip_spi_0_cs),
    .spi_0_sclk(ip_spi_0_sclk),
    .spi_0_sdi(ip_spi_0_sdi),
    .spi_0_sdo(ip_spi_0_sdo),
    .spi_0_sdo_t(ip_spi_0_sdo_t),
    .spi_0_three_wire(ip_spi_0_three_wire)     
  );    
endmodule

Linux端配置

首先需要配置設備樹:

&axi_spi_engine_0 {
    status = "okay";
    //配置SPI控制器匹配字段,這樣會自動編譯ADI 提供的SPI 控制器驅動
    compatible = "adi,axi-spi-engine-1.00.a";
    spi-rx-bus-width = <1>;
    spi-tx-bus-width = <1>; 
    bits-per-word = <8>;
    interrupt-parent = <&intc>;
    interrupts = <0 30 4>;      
    num-cs = <4>;

    #address-cells = <0x1>;
    #size-cells = <0x0>;    
    ad9467_0: ad9467@0 {
        compatible = "adi,ad9467";
        reg = <0>;
        spi-max-frequency = <500000>;
        #address-cells = <1>;
        #size-cells = <1>;

        spi-rx-bus-width = <1>; 
        spi-tx-bus-width = <1>;
        bits-per-word = <8>;
        spi-3wire;        
    };

    ad9467_1: ad9467@1 {
        compatible = "spidev";
        reg = <1>;
        spi-max-frequency = <500000>;
        #address-cells = <1>;
        #size-cells = <1>;        
 
        spi-rx-bus-width = <1>; 
        spi-tx-bus-width = <1>;
        bits-per-word = <8>;
        //這個字段需要使能,表示該設備是三線制
        spi-3wire;           
    };      
};

基於ADI提高的Linux代碼庫:

https://github.com/analogdevicesinc/Linux

配置相應的SPI控制器驅動,然後編譯部署。由於該demo涉及些項目其他的技術細節,這裏就不描述了,來看看療效:

看這個波形或許會有朋友問:爲啥數據發送結束,SDO/SDI複用腳波形有一個上升的漸變暫態過程,這是由於此時從端芯片從輸出態轉爲高阻態,而主端芯片此時也是高阻態,由於線路電容效應故而會有這樣一個變化過程。

總結一下

利用ADI提高的IP庫,不用敲一行代碼可以很容易就實現了三線制SPI,香吧?該方案可以同時兼容三線制/四線制SPI,是一個成熟穩定的方案。爲什麼ZYNQ芯片這樣一款SOC芯片以及Linux會被人喜歡,由此可見一斑。因爲有大量成熟的輪子可供使用,而不必自己去造輪子。從而加速產品的研發進度,使用戶可以專注於自己需要解決的應用問題。這裏有一個tips拿走不謝:

在做應用開發時,首先梳理出需求,要幹什麼?去往哪裏?但別急着擼代碼,先搜搜看看有沒有現成的輪子,拒絕重新造輪子!一定會加速開發進程。但也需要注意一下開源資源是否可以免費商用,注意知識產權IP問題!~


1.楊福宇專欄 | 新車用CAN FD,你可能還會被忽悠!

2.中芯國際深夜大瓜:蔣尚義回來梁孟松要走?

3.美國再發實體清單,北理、南航、南理工上榜,“國防七子”終於齊了!

4.分析:全球性芯片缺貨超乎想象

5.Windows 擁抱 Android,微軟在下怎樣的一步棋?

6.散裝vs批發誰效率高?變量訪問被ARM架構安排的明明白白

免責聲明:本文系網絡轉載,版權歸原作者所有。如涉及作品版權問題,請與我們聯繫,我們將根據您提供的版權證明材料確認版權並支付稿酬或者刪除內容。

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章