原创 浮點數原理

  導讀:浮點數運算是一個非常有技術含量的話題,不太容易掌握。許多程序員都不清楚使用==操作符比較float/double類型的話到底出現什麼問題。 許多人使用float/double進行貨幣計算時經常會犯錯。這篇文章是這一系列中的精

原创 IEEE 754 浮點數的詳細分析

前言 從網上看到不少程序員對浮點數精度問題有很多疑問,在論壇上發貼詢問,很多熱心人給予瞭解答,但我發現一些解答中有些許小的錯誤和認識不當之處。我曾經做過數值算法程序,雖然基本可用,但是被浮點數精度問題所困擾;事情過後,我花了一點時間蒐集

原创 沒有GUI的Linux如何用python作圖

環境:win10 ; 內嵌linux子系統;ubuntu自帶python3 1、python文件的改動過 在from matplotlib import pylot之前,添加代碼:   import matplotlib matplot

原创 UDP協議校驗和的計算

UDP協議的僞首部既不向上傳輸也不向下傳輸爲什麼我們還要計算它? 答:UDP的校驗和需要計算UDP首部加數據荷載部分,也需要加上UDP僞首部,既如圖所示: 源IP地址,目的IP地址,UDP長度,再加上被補充了1字節全零的協議類型(即第三

原创 GMII接口

物理接口收發器(PHY),它實現了OSI模型的第一層--物理層,它整合了大量的模擬硬件 媒體介入控制器(MAC),它實現了OSI模型的數據鏈路層的下半部分,主要負責控制與連接物理層的物理介質,典型的全數字器件,     MII 媒體獨立接

原创 EDA工具編譯過程及測試文件的添加(quartus II)

編譯過程: compile design: 1.analysis and synthesis : 對設計文本進行分析綜合 2.place and route : 對設計進行佈局佈線 3.generate  programming file

原创 OSI七層模型和STP/IP協議模型(生動形象,簡單易懂)

  之前本科的時候也瞭解過OSI七層模型和STP/IP模型,但是總是無法理解他們。這段時間有需要用到這兩個概念的地方,所以再回頭瞭解了一下這兩個概念。在這篇博客中的很多地方是直接引用了其他的博客內容,如果這篇博客中還有哪裏沒有講清楚的希望

原创 如何講解系統框圖

步驟: 1、系統框圖中包含哪些關鍵模塊。 2、對系統框圖中的模塊進行分類(哪些模塊用於實現哪個功能) 3、功能模塊間是如何進行通信的,實現了什麼功能。 4、然後再對功能模塊中的模塊進行說明,講解模塊是如何實現模塊功能的。  

原创 fpga 流水線算法設計實例

所謂流水線設計實際上就是把規模較大、層次較多的組合邏輯電路分爲幾個級,在每一級插入寄存器組暫存中間數據。K 級的流水線就是從組合邏輯的輸入到輸出恰好有 K 個寄存器組(分爲 K 級,每一級都有一個寄存器組)上一級的輸出是下一級的輸入而又無

原创 VHDL 進程間的數據傳遞規則

“在VHDL中不允許在兩個進程中同時對同一個信號進行賦值”,下面我們通過兩個實例具體來解釋一下這句話。 ENTITY sort IS PORT ( clk : IN STD_LOGIC;

原创 二進制加法器

                                                                                 圖1 - 4bit全加器原理 上一節我們學習了ALU的加法實現功能部件——

原创 FPGA算術運算

有符號數和無符號數 要使用SIGNED和UNSIGNED類型數據,必須在代碼開始部分聲明ieee庫中的包集std_logic_arith。它們支持算術運算但不支持邏輯運算。 library ieee; use ieee.std_logic

原创 二進制加法器的VHDL程序

在上一篇文章中我們討論了二進制加法器的原理,在此我們給出二進制加法器的VHDL描述: library IEEE; use IEEE.std_logic_1164.all; package std_logic_arith is

原创 浮點庫,float_generic_pkg.vhd

integer類型數據大小的比較在程序包:std_logic_arith程序包中 待續。。。。。。。。。。。