census變換verilog實現

上一篇文件已經介紹過match cost的rtl計算方式,主要思路就是sad,具體算法內容可以去網上隨便找找,其實在算match cost時,

可以結合census變換,這樣可以很好的去除圖像中光線對結果的影響。

具體實現方式也比較簡單,kernel採用5*5。這裏需要對左右圖像分別進行census變換,然後在對左右圖像求取hanming距離。

census算法公式,

 

這裏截取了代碼中的部分,主要是需要rtl處理好邊界問題,不需要注意其他的地方.這個地方寫的隨意了點,大家在做得時候可以用參數控制,這樣無論是多大的kernel都可以靈活設置。

 

後面的漢明距離採用的查找表的方式,不過在算具體實現時發現這部分非常耗資源,自己考慮了很久,也沒想到好的方式,所以上板的時候自己把這部分代碼註釋掉了,沒用census變換,只用了sad算match cost。

 

 

 

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章