FPGA學習方向規劃

 

 

前言

僅以本帖,記錄自己的學習歷程及未來的方向。

剛入職做FPGA研發1年,也不知道自己的發展方向。

所以,從FPGA接口通信入手,慢慢學習和了解吧。

希望自己逐步積累和掌握以下幾個方向從業的基礎知識,並具有一定的研發能力。

主要方向分以下個方向:

(1)接口通信類:

         簡單通信接口SPI/UART/IIC,

        複雜一點的SDR SDRAM控制器設計、DDR3MIG的使用、PCIE控制器、Aurora、千兆以太網通信;

(2)數字信號處理類:FPGA數字信號處理

(3)數字圖像處理類:FPGA數字圖像處理

(4)通信編碼類       :

(5)加密解密算法硬件實現:

 

學習,從大牛的博客開始!

輔助閱讀相關專業書籍!

 

FPGA數字信號處理

推薦博客
作者 博客
1、 FPGADesigner的博客 https://blog.csdn.net/FPGADesigner
2、李銳博恩(Reborn) https://blog.csdn.net/Reborn_Lee
   
推薦書籍
《數字信號處理的FPGA實現》第四版

《數字信號處理――原理、算法與應用(第四版) 

[Digital Signal Processing,Fourth Edition]

《數字濾波器的MATLAB與FPGA實現》  

 

FPGA數字圖像處理

推薦博客
作者 博客
1、angelbosj  https://blog.csdn.net/angelbosj?t=1
2、LakersNation  https://blog.csdn.net/lzy272942518?t=1
3、Pieces_thinking的博客 https://blog.csdn.net/pieces_thinking?t=1
4、灰巧克力愛松露    https://blog.csdn.net/shadow_guo
5、fzhykx    https://blog.csdn.net/fzhykx?t=1
6、libing64  https://blog.csdn.net/renshengrumenglibing
7、brank_z    https://blog.csdn.net/a52228254?t=1
8、被選召的孩子  https://blog.csdn.net/archar_saber/article/list/1?t=1&
   
推薦書籍
《數字信號處理的FPGA實現》第四版 《基於FPGA的數字圖像處理原理及應用》
《數字圖像處理(第三版)岡薩雷斯》  

 

 

FPGA相關書籍

不讀書,何以進步?!

在FPGA領域相關專業的書籍並不是很多,下面僅是個人整理的一些可以參考閱讀的書籍。

下面列舉的書籍,大多是工具書,基本就入個門。

若想在一個領域深耕,則需要學習和了解該領域的專業和系統的知識,比如關於加密解密算法,深入學習的話需要掌握信息論、信息論與編碼等更加專業知識。

 

下面的書籍大概分兩大類:基礎理論指導類 和 工具書。

基礎理論指導類:

FPGA新人入門階段,可以先看看下面三本書,作爲FPGA開發中的理論指導。

《Altera FPGA/CPLD設計(基礎篇)(第2版)》

《Altera FPGA/CPLD設計(高級篇)(第2版)》

《FPGA快速系統原型設計權威指南》ps:這本書值得看看!

可以參考“《FPGA快速系統原型設計權威指南》讀書小結”

 

工具書類:

工具書,就是你用到的時候,打開看看。

當然,感興趣的也可以自己業餘研究研究,大概瞭解瞭解FPGA在不同領域的應用情況。

《FPGA原理和結構》天野英晴 《FPGA實戰訓練精粹》
《Xilinx FPGA 高速串行傳輸技術與應用》 《嵌入式高速串行總線技術 基於FPGA實現與應用》
《PCI Express 系統結構標準教材》  
《無線通信FPGA設計》 《典型密碼算法FPGA實現》
《數字信號處理的FPGA實現》第四版 《基於FPGA的數字圖像處理原理及應用》
《數字圖像處理(第三版)岡薩雷斯》 《數字濾波器的MATLAB與FPGA實現》

《數字信號處理――原理、算法與應用(第四版)

 [Digital Signal Processing,Fourth Edition]

 
   
《Xilinx FPGA 權威設計指南 基於vivado2018集成開發環境》 《Xilinx FPGA 數字信號處理設計指南 從HDL、simulink到HLS的實現》
《Xilinx ZYNQ-7000嵌入式系統設計與實現》 《Xilinx FPGA開發使用教程》第二版
   
《數字設計和計算機體系結構》第二版 《兼容ARM9的軟核處理器設計-基於FPGA》
《TCL/TK入門經典》 《UVM實戰》
《The UVM Primer》 《Writing Testbenches using SystemVerilog》
《System Verilog1800-2012語法手冊》  
幾個常用軟件工具
文件比較器 : Beyond compare 文件查找器: everything
   
   

 

綜合類:

作者 博客
1、桔色小西瓜  https://blog.csdn.net/sinat_25326461
2、Snail_Walker https://blog.csdn.net/c602273091?t=1
3、ALIFPGA  https://blog.csdn.net/woshifennu1234?t=1
4、善良的一休君  https://blog.csdn.net/qq_39210023?t=1
5、文鴻開源工作室  https://blog.csdn.net/qq_38376586/article/list/1?
6、 開源騷客  http://dengkanwen.com/
7、OpenFPGA  https://blog.csdn.net/Pieces_thinking/article/details/84930527
8、yf869778412   https://www.cnblogs.com/chengqi521/p/8242183.html
9、長弓的堅持 https://blog.csdn.net/wordwarwordwar
10、肉娃娃 https://www.cnblogs.com/rouwawa/p/9838512.html
11、深海里的雜貨鋪  https://blog.csdn.net/ncut_nwpu?t=1
   

 

 

軟件工具:

(1)熟練掌握Microsoft Visual Studio的調試流程,熟練掌握C++語言。

         沒有學會的,要開始學習。

(2)熟練掌握MATLAB的使用方法,熟悉其編程環境;熟練掌握C語言。

          曾經學會的,不能忘記。

(3)熟練掌握Python、TCL軟件工具。

(4)熟悉QT開發環境。

 

 

 

 

幾個FPGA開源網站

內容不多介紹,自己隨便點開看看吧。

建議,空閒時多瀏覽瀏覽一些FPGA相關的最新資訊。

1

OPENCORES.ORG

http//www.opencores.org/browse.cgi/by_category

http://www.opencores.org - 中文

2

FPGAs are fun

http://www.fpga4fun.com/ - 外文

3 http://www.latticesemi.com.cn/ - 中文
4 http://www.epanorama.net/links/fpga.html - 外文
5

EDA Industry Working Groups

http://www.vhdl.org/ - 外文

6

Andraka Consulting Group Home

http://www.andraka.com/

7

http://www.fpga-faq.org/ - 外文

8

https://www.design-reuse.com/

9

http://www.fpgaworld.com/ - 外文

10

http://www.edacafe.com/

11

https://www.fpgajournal.com/

12

http://www.enseirb.fr/~kadionik/embedded/uclinux/nios-uclinux.html - 外文

13

https://www.accellera.org/activities/

14 電子創新網賽靈思社區
15 電子工程世界FPGA論壇
16 我愛方案網
17 開源硬件社區託管項目
18 EETOP
19 聯合開發網
20 幾個簡單的Verilog Examples

 

 

最後有一些帖子不錯,可以看看。

做FPGA的出路在哪裏?——同行的感受,我的方向

一個死磕FPGA 9年的大齡工程師的肺腑之言

 

>>點擊這裏返回導航頁<<

 

 

 

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章