ModelSim仿真波形保存步驟

 

1、do文件的保存過程

(1)將視圖切換到wave界面,即鼠標左鍵點擊選擇wave界面,使其高亮顯示。

(2)file——>save format——>D:/modeltech_6.5b/examples/run_wave.do 可以更改do文件名稱和存放目錄,一般保存在仿真目錄中。

2、wlf文件保存過程

(1)切換左邊任務欄至“sim”。

(2)點擊保存,給將要保存的 .wlf文件(波形文件)命名。

3、載入之前保存的波形

(1)重啓modelsim,open 之前保存的 .wlf文件,

(2)然後 ,load 對應的 .do文件。如下圖所示。

 

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章