systemverilog $sformatf vs $sformat

The system function $sformatfbehaves like $sformat except that the string result is passed back as the function result value for $sformatf, not placed in the first argument as for $sformat.

Some more expansion:
Concatenation: Each operand can be a string literal or an expression of string type. If all the operands are string literals the expression shall behave as a concatenation of integral values; if the result of such a concatenation is used in an expression involving string types then it shall be implicitly converted to string type. If at least one operand is an expression of string type, then any operands that are string literals shall be converted to string type before the concatenation is performed, and the result of the concatenation shall be of string type.
exp. {Str1,Str2,…,Strn}

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章